tisc

tiny instruction set computer
Log | Files | Refs | README

CPU.circ (199595B)


      1 <?xml version="1.0" encoding="UTF-8" standalone="no"?>
      2 <project source="2.7.1" version="1.0">
      3   This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
      4 
      5   <lib desc="#Wiring" name="0">
      6     <tool name="Constant">
      7       <a name="value" val="0x0"/>
      8     </tool>
      9   </lib>
     10   <lib desc="#Gates" name="1">
     11     <tool name="AND Gate">
     12       <a name="facing" val="south"/>
     13       <a name="size" val="30"/>
     14       <a name="inputs" val="2"/>
     15     </tool>
     16   </lib>
     17   <lib desc="#Plexers" name="2"/>
     18   <lib desc="#Arithmetic" name="3"/>
     19   <lib desc="#Memory" name="4">
     20     <tool name="ROM">
     21       <a name="addrWidth" val="3"/>
     22       <a name="dataWidth" val="4"/>
     23       <a name="contents">addr/data: 3 4
     24 0
     25 </a>
     26     </tool>
     27   </lib>
     28   <lib desc="#I/O" name="5"/>
     29   <lib desc="#Base" name="6">
     30     <tool name="Text Tool">
     31       <a name="text" val=""/>
     32       <a name="font" val="SansSerif plain 12"/>
     33       <a name="halign" val="center"/>
     34       <a name="valign" val="base"/>
     35     </tool>
     36   </lib>
     37   <main name="ExampleConfigurationROM"/>
     38   <options>
     39     <a name="gateUndefined" val="ignore"/>
     40     <a name="simlimit" val="1000"/>
     41     <a name="simrand" val="0"/>
     42   </options>
     43   <mappings>
     44     <tool lib="6" map="Button2" name="Menu Tool"/>
     45     <tool lib="6" map="Button3" name="Menu Tool"/>
     46     <tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
     47   </mappings>
     48   <toolbar>
     49     <tool lib="6" name="Poke Tool"/>
     50     <tool lib="6" name="Edit Tool"/>
     51     <tool lib="6" name="Text Tool">
     52       <a name="text" val=""/>
     53       <a name="font" val="SansSerif plain 12"/>
     54       <a name="halign" val="center"/>
     55       <a name="valign" val="base"/>
     56     </tool>
     57     <sep/>
     58     <tool lib="0" name="Pin">
     59       <a name="tristate" val="false"/>
     60     </tool>
     61     <tool lib="0" name="Pin">
     62       <a name="facing" val="west"/>
     63       <a name="output" val="true"/>
     64       <a name="labelloc" val="east"/>
     65     </tool>
     66     <tool lib="1" name="NOT Gate"/>
     67     <tool lib="1" name="AND Gate"/>
     68     <tool lib="1" name="OR Gate"/>
     69   </toolbar>
     70   <circuit name="MCU">
     71     <a name="circuit" val="MCU"/>
     72     <a name="clabel" val=""/>
     73     <a name="clabelup" val="east"/>
     74     <a name="clabelfont" val="SansSerif plain 12"/>
     75     <appear>
     76       <path d="M53,12 Q57,22 61,12" fill="none" stroke="#808080" stroke-width="2"/>
     77       <rect fill="none" height="161" stroke="#000000" stroke-width="2" width="120" x="40" y="11"/>
     78       <rect fill="#707070" height="160" stroke="#000000" width="119" x="40" y="10"/>
     79       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="86" y="159">tisc v2.3</text>
     80       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="152" y="43">IO ENABLE</text>
     81       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="152" y="85">INPUT</text>
     82       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="153" y="124">ADDRESS</text>
     83       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="152" y="105">OUTPUT</text>
     84       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="150" y="144">CLK</text>
     85       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="152" y="163">RST</text>
     86       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="152" y="24">IO ACTIVE</text>
     87       <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="152" y="64">WRITE ACTIVE</text>
     88       <circ-port height="8" pin="620,320" width="8" x="156" y="36"/>
     89       <circ-port height="8" pin="560,360" width="8" x="156" y="76"/>
     90       <circ-port height="10" pin="560,400" width="10" x="155" y="115"/>
     91       <circ-port height="10" pin="560,380" width="10" x="155" y="95"/>
     92       <circ-port height="8" pin="620,420" width="8" x="156" y="136"/>
     93       <circ-port height="8" pin="620,440" width="8" x="156" y="156"/>
     94       <circ-port height="10" pin="620,300" width="10" x="155" y="15"/>
     95       <circ-port height="10" pin="620,340" width="10" x="155" y="55"/>
     96       <circ-anchor facing="east" height="6" width="6" x="157" y="37"/>
     97     </appear>
     98     <wire from="(350,140)" to="(350,210)"/>
     99     <wire from="(340,40)" to="(340,180)"/>
    100     <wire from="(230,150)" to="(230,160)"/>
    101     <wire from="(170,130)" to="(220,130)"/>
    102     <wire from="(310,150)" to="(310,160)"/>
    103     <wire from="(480,200)" to="(480,220)"/>
    104     <wire from="(170,210)" to="(170,230)"/>
    105     <wire from="(220,130)" to="(220,220)"/>
    106     <wire from="(140,110)" to="(180,110)"/>
    107     <wire from="(260,220)" to="(260,250)"/>
    108     <wire from="(220,100)" to="(220,130)"/>
    109     <wire from="(460,250)" to="(460,340)"/>
    110     <wire from="(230,250)" to="(260,250)"/>
    111     <wire from="(350,340)" to="(350,440)"/>
    112     <wire from="(260,130)" to="(280,130)"/>
    113     <wire from="(190,30)" to="(190,70)"/>
    114     <wire from="(220,60)" to="(220,100)"/>
    115     <wire from="(320,80)" to="(320,120)"/>
    116     <wire from="(180,210)" to="(200,210)"/>
    117     <wire from="(220,380)" to="(560,380)"/>
    118     <wire from="(170,130)" to="(170,180)"/>
    119     <wire from="(200,120)" to="(200,170)"/>
    120     <wire from="(210,110)" to="(290,110)"/>
    121     <wire from="(380,300)" to="(390,300)"/>
    122     <wire from="(220,220)" to="(230,220)"/>
    123     <wire from="(350,20)" to="(350,140)"/>
    124     <wire from="(210,230)" to="(210,420)"/>
    125     <wire from="(410,240)" to="(410,360)"/>
    126     <wire from="(500,170)" to="(500,300)"/>
    127     <wire from="(190,70)" to="(250,70)"/>
    128     <wire from="(190,80)" to="(190,90)"/>
    129     <wire from="(250,220)" to="(250,230)"/>
    130     <wire from="(180,190)" to="(230,190)"/>
    131     <wire from="(300,150)" to="(300,160)"/>
    132     <wire from="(260,150)" to="(260,160)"/>
    133     <wire from="(160,30)" to="(160,180)"/>
    134     <wire from="(480,240)" to="(480,320)"/>
    135     <wire from="(140,20)" to="(140,110)"/>
    136     <wire from="(170,230)" to="(210,230)"/>
    137     <wire from="(210,230)" to="(250,230)"/>
    138     <wire from="(160,30)" to="(190,30)"/>
    139     <wire from="(290,80)" to="(320,80)"/>
    140     <wire from="(400,30)" to="(400,190)"/>
    141     <wire from="(220,220)" to="(220,320)"/>
    142     <wire from="(460,340)" to="(620,340)"/>
    143     <wire from="(260,140)" to="(280,140)"/>
    144     <wire from="(330,210)" to="(350,210)"/>
    145     <wire from="(160,210)" to="(160,250)"/>
    146     <wire from="(140,20)" to="(350,20)"/>
    147     <wire from="(190,30)" to="(400,30)"/>
    148     <wire from="(420,230)" to="(430,230)"/>
    149     <wire from="(230,40)" to="(230,90)"/>
    150     <wire from="(200,210)" to="(200,260)"/>
    151     <wire from="(140,200)" to="(140,440)"/>
    152     <wire from="(330,180)" to="(340,180)"/>
    153     <wire from="(210,100)" to="(220,100)"/>
    154     <wire from="(320,370)" to="(320,420)"/>
    155     <wire from="(390,240)" to="(390,300)"/>
    156     <wire from="(190,120)" to="(190,180)"/>
    157     <wire from="(190,80)" to="(250,80)"/>
    158     <wire from="(180,240)" to="(180,250)"/>
    159     <wire from="(250,150)" to="(250,160)"/>
    160     <wire from="(180,200)" to="(230,200)"/>
    161     <wire from="(290,150)" to="(290,160)"/>
    162     <wire from="(290,110)" to="(290,120)"/>
    163     <wire from="(500,300)" to="(620,300)"/>
    164     <wire from="(230,40)" to="(340,40)"/>
    165     <wire from="(210,420)" to="(320,420)"/>
    166     <wire from="(330,170)" to="(500,170)"/>
    167     <wire from="(240,220)" to="(240,240)"/>
    168     <wire from="(140,110)" to="(140,200)"/>
    169     <wire from="(190,180)" to="(230,180)"/>
    170     <wire from="(220,60)" to="(250,60)"/>
    171     <wire from="(170,300)" to="(170,400)"/>
    172     <wire from="(330,140)" to="(350,140)"/>
    173     <wire from="(140,200)" to="(150,200)"/>
    174     <wire from="(170,300)" to="(240,300)"/>
    175     <wire from="(220,320)" to="(220,380)"/>
    176     <wire from="(180,240)" to="(240,240)"/>
    177     <wire from="(240,150)" to="(240,160)"/>
    178     <wire from="(320,150)" to="(320,160)"/>
    179     <wire from="(320,420)" to="(620,420)"/>
    180     <wire from="(400,190)" to="(400,210)"/>
    181     <wire from="(170,280)" to="(170,300)"/>
    182     <wire from="(200,170)" to="(230,170)"/>
    183     <wire from="(200,210)" to="(230,210)"/>
    184     <wire from="(460,240)" to="(480,240)"/>
    185     <wire from="(140,440)" to="(350,440)"/>
    186     <wire from="(410,360)" to="(560,360)"/>
    187     <wire from="(230,90)" to="(250,90)"/>
    188     <wire from="(330,200)" to="(480,200)"/>
    189     <wire from="(220,320)" to="(240,320)"/>
    190     <wire from="(470,220)" to="(480,220)"/>
    191     <wire from="(480,320)" to="(620,320)"/>
    192     <wire from="(220,130)" to="(230,130)"/>
    193     <wire from="(190,260)" to="(200,260)"/>
    194     <wire from="(350,440)" to="(620,440)"/>
    195     <wire from="(270,100)" to="(270,160)"/>
    196     <wire from="(170,400)" to="(560,400)"/>
    197     <wire from="(230,370)" to="(300,370)"/>
    198     <wire from="(230,250)" to="(230,370)"/>
    199     <wire from="(210,110)" to="(210,230)"/>
    200     <wire from="(260,250)" to="(460,250)"/>
    201     <wire from="(330,190)" to="(400,190)"/>
    202     <comp lib="6" loc="(521,395)" name="Text">
    203       <a name="text" val="8 Address Bus"/>
    204       <a name="font" val="SansSerif plain 9"/>
    205       <a name="halign" val="right"/>
    206     </comp>
    207     <comp lib="6" loc="(156,231)" name="Text">
    208       <a name="text" val="3 PC Output Bus -------&gt;"/>
    209       <a name="font" val="SansSerif plain 9"/>
    210       <a name="halign" val="right"/>
    211     </comp>
    212     <comp lib="0" loc="(290,340)" name="Constant">
    213       <a name="facing" val="north"/>
    214     </comp>
    215     <comp lib="6" loc="(502,85)" name="Text">
    216       <a name="text" val="&lt;------------------------ 7 Register Input Bus"/>
    217       <a name="font" val="SansSerif plain 9"/>
    218       <a name="halign" val="right"/>
    219     </comp>
    220     <comp lib="6" loc="(406,45)" name="Text">
    221       <a name="text" val="9 Internal Input Bus"/>
    222       <a name="font" val="SansSerif plain 9"/>
    223       <a name="halign" val="left"/>
    224     </comp>
    225     <comp lib="6" loc="(177,79)" name="Text"/>
    226     <comp lib="0" loc="(560,400)" name="Pin">
    227       <a name="facing" val="west"/>
    228       <a name="output" val="true"/>
    229       <a name="width" val="8"/>
    230       <a name="tristate" val="false"/>
    231       <a name="pull" val="down"/>
    232       <a name="label" val="ADDR"/>
    233       <a name="labelloc" val="east"/>
    234     </comp>
    235     <comp lib="1" loc="(310,340)" name="AND Gate">
    236       <a name="facing" val="north"/>
    237       <a name="size" val="30"/>
    238       <a name="inputs" val="2"/>
    239     </comp>
    240     <comp lib="6" loc="(410,40)" name="Text">
    241       <a name="halign" val="left"/>
    242       <a name="valign" val="top"/>
    243     </comp>
    244     <comp lib="0" loc="(620,300)" name="Pin">
    245       <a name="facing" val="west"/>
    246       <a name="output" val="true"/>
    247       <a name="label" val="IO ACTIVE"/>
    248       <a name="labelloc" val="east"/>
    249     </comp>
    250     <comp lib="6" loc="(177,244)" name="Text">
    251       <a name="text" val="4 Memory Pointer Bus --------------&gt;"/>
    252       <a name="font" val="SansSerif plain 9"/>
    253       <a name="halign" val="right"/>
    254     </comp>
    255     <comp loc="(260,130)" name="ALU"/>
    256     <comp lib="4" loc="(380,300)" name="RAM">
    257       <a name="bus" val="separate"/>
    258     </comp>
    259     <comp lib="0" loc="(620,320)" name="Pin">
    260       <a name="facing" val="west"/>
    261       <a name="tristate" val="false"/>
    262       <a name="pull" val="down"/>
    263       <a name="label" val="IO ENABLE"/>
    264       <a name="labelloc" val="east"/>
    265     </comp>
    266     <comp lib="1" loc="(430,230)" name="AND Gate">
    267       <a name="facing" val="west"/>
    268       <a name="size" val="30"/>
    269       <a name="inputs" val="2"/>
    270       <a name="negate0" val="true"/>
    271     </comp>
    272     <comp lib="2" loc="(290,80)" name="Multiplexer">
    273       <a name="select" val="2"/>
    274       <a name="width" val="8"/>
    275       <a name="enable" val="false"/>
    276     </comp>
    277     <comp lib="6" loc="(303,244)" name="Text">
    278       <a name="text" val="TISCv2.3"/>
    279       <a name="font" val="Dialog plain 14"/>
    280     </comp>
    281     <comp lib="0" loc="(560,360)" name="Pin">
    282       <a name="facing" val="west"/>
    283       <a name="width" val="8"/>
    284       <a name="tristate" val="false"/>
    285       <a name="pull" val="down"/>
    286       <a name="label" val="IN"/>
    287       <a name="labelloc" val="east"/>
    288     </comp>
    289     <comp lib="6" loc="(505,65)" name="Text">
    290       <a name="text" val="&lt;----------------------- 2 Immediate Bus "/>
    291       <a name="font" val="SansSerif plain 9"/>
    292       <a name="halign" val="right"/>
    293     </comp>
    294     <comp loc="(200,90)" name="STK"/>
    295     <comp lib="6" loc="(185,84)" name="Text">
    296       <a name="text" val="1 Stack Output Bus ---------------&gt;"/>
    297       <a name="font" val="SansSerif plain 9"/>
    298       <a name="halign" val="right"/>
    299     </comp>
    300     <comp lib="6" loc="(513,264)" name="Text">
    301       <a name="text" val="&lt;--------------------------------------------- 5 Memory Output Bus"/>
    302       <a name="font" val="SansSerif plain 9"/>
    303     </comp>
    304     <comp loc="(230,170)" name="ISD"/>
    305     <comp loc="(160,210)" name="PC"/>
    306     <comp lib="0" loc="(560,380)" name="Pin">
    307       <a name="facing" val="west"/>
    308       <a name="output" val="true"/>
    309       <a name="width" val="8"/>
    310       <a name="tristate" val="false"/>
    311       <a name="pull" val="down"/>
    312       <a name="label" val="OUT"/>
    313       <a name="labelloc" val="east"/>
    314     </comp>
    315     <comp loc="(280,150)" name="GPR"/>
    316     <comp lib="0" loc="(620,420)" name="Pin">
    317       <a name="facing" val="west"/>
    318       <a name="tristate" val="false"/>
    319       <a name="pull" val="down"/>
    320       <a name="label" val="CLK"/>
    321       <a name="labelloc" val="east"/>
    322     </comp>
    323     <comp lib="0" loc="(620,340)" name="Pin">
    324       <a name="facing" val="west"/>
    325       <a name="output" val="true"/>
    326       <a name="label" val="WRITE ACTIVE"/>
    327       <a name="labelloc" val="east"/>
    328     </comp>
    329     <comp lib="0" loc="(620,440)" name="Pin">
    330       <a name="facing" val="west"/>
    331       <a name="tristate" val="false"/>
    332       <a name="pull" val="down"/>
    333       <a name="label" val="RST"/>
    334       <a name="labelloc" val="east"/>
    335     </comp>
    336     <comp lib="2" loc="(170,280)" name="Multiplexer">
    337       <a name="facing" val="south"/>
    338       <a name="selloc" val="tr"/>
    339       <a name="width" val="8"/>
    340       <a name="enable" val="false"/>
    341     </comp>
    342     <comp lib="6" loc="(521,375)" name="Text">
    343       <a name="text" val="0 ALU Output Bus"/>
    344       <a name="font" val="SansSerif plain 9"/>
    345       <a name="halign" val="right"/>
    346     </comp>
    347     <comp lib="6" loc="(290,239)" name="Text"/>
    348     <comp lib="6" loc="(407,45)" name="Text">
    349       <a name="halign" val="left"/>
    350     </comp>
    351     <comp lib="6" loc="(521,358)" name="Text">
    352       <a name="text" val="6 External Input Bus"/>
    353       <a name="font" val="SansSerif plain 9"/>
    354       <a name="halign" val="right"/>
    355       <a name="valign" val="bottom"/>
    356     </comp>
    357     <comp lib="2" loc="(400,210)" name="Multiplexer">
    358       <a name="facing" val="north"/>
    359       <a name="selloc" val="tr"/>
    360       <a name="width" val="8"/>
    361       <a name="enable" val="false"/>
    362     </comp>
    363   </circuit>
    364   <circuit name="ALU">
    365     <a name="circuit" val="ALU"/>
    366     <a name="clabel" val=""/>
    367     <a name="clabelup" val="east"/>
    368     <a name="clabelfont" val="SansSerif plain 12"/>
    369     <appear>
    370       <path d="M61,51 Q65,61 69,51" fill="none" stroke="#808080" stroke-width="2"/>
    371       <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/>
    372       <text font-family="SansSerif" font-size="12" text-anchor="middle" x="64" y="72">ALU</text>
    373       <circ-port height="10" pin="530,280" width="10" x="45" y="55"/>
    374       <circ-port height="8" pin="510,390" width="8" x="66" y="76"/>
    375       <circ-port height="8" pin="570,40" width="8" x="76" y="56"/>
    376       <circ-port height="8" pin="700,40" width="8" x="76" y="66"/>
    377       <circ-port height="10" pin="320,890" width="10" x="55" y="75"/>
    378       <circ-port height="8" pin="500,550" width="8" x="76" y="76"/>
    379       <circ-port height="8" pin="490,460" width="8" x="46" y="76"/>
    380       <circ-anchor facing="east" height="6" width="6" x="77" y="57"/>
    381     </appear>
    382     <wire from="(760,280)" to="(760,290)"/>
    383     <wire from="(320,270)" to="(320,340)"/>
    384     <wire from="(820,100)" to="(870,100)"/>
    385     <wire from="(690,530)" to="(740,530)"/>
    386     <wire from="(1010,210)" to="(1010,220)"/>
    387     <wire from="(190,670)" to="(190,680)"/>
    388     <wire from="(200,360)" to="(200,370)"/>
    389     <wire from="(340,100)" to="(390,100)"/>
    390     <wire from="(550,340)" to="(800,340)"/>
    391     <wire from="(340,580)" to="(340,590)"/>
    392     <wire from="(590,220)" to="(590,290)"/>
    393     <wire from="(270,750)" to="(270,770)"/>
    394     <wire from="(840,280)" to="(840,820)"/>
    395     <wire from="(340,340)" to="(340,360)"/>
    396     <wire from="(1070,70)" to="(1070,840)"/>
    397     <wire from="(870,70)" to="(870,100)"/>
    398     <wire from="(190,110)" to="(190,130)"/>
    399     <wire from="(200,280)" to="(200,300)"/>
    400     <wire from="(180,290)" to="(180,380)"/>
    401     <wire from="(140,410)" to="(140,500)"/>
    402     <wire from="(220,330)" to="(220,420)"/>
    403     <wire from="(300,540)" to="(300,570)"/>
    404     <wire from="(290,290)" to="(290,320)"/>
    405     <wire from="(220,780)" to="(220,810)"/>
    406     <wire from="(260,610)" to="(260,700)"/>
    407     <wire from="(760,520)" to="(760,540)"/>
    408     <wire from="(150,650)" to="(180,650)"/>
    409     <wire from="(870,100)" to="(890,100)"/>
    410     <wire from="(550,20)" to="(570,20)"/>
    411     <wire from="(320,270)" to="(340,270)"/>
    412     <wire from="(800,110)" to="(800,210)"/>
    413     <wire from="(320,430)" to="(340,430)"/>
    414     <wire from="(730,270)" to="(760,270)"/>
    415     <wire from="(190,140)" to="(210,140)"/>
    416     <wire from="(130,480)" to="(150,480)"/>
    417     <wire from="(130,720)" to="(150,720)"/>
    418     <wire from="(250,120)" to="(270,120)"/>
    419     <wire from="(190,380)" to="(210,380)"/>
    420     <wire from="(180,740)" to="(180,790)"/>
    421     <wire from="(280,560)" to="(290,560)"/>
    422     <wire from="(290,330)" to="(300,330)"/>
    423     <wire from="(290,90)" to="(300,90)"/>
    424     <wire from="(330,130)" to="(340,130)"/>
    425     <wire from="(140,500)" to="(150,500)"/>
    426     <wire from="(190,550)" to="(200,550)"/>
    427     <wire from="(200,480)" to="(210,480)"/>
    428     <wire from="(200,720)" to="(210,720)"/>
    429     <wire from="(220,420)" to="(230,420)"/>
    430     <wire from="(570,330)" to="(570,380)"/>
    431     <wire from="(880,120)" to="(890,120)"/>
    432     <wire from="(780,100)" to="(790,100)"/>
    433     <wire from="(320,720)" to="(320,790)"/>
    434     <wire from="(190,400)" to="(190,410)"/>
    435     <wire from="(200,90)" to="(200,100)"/>
    436     <wire from="(340,550)" to="(390,550)"/>
    437     <wire from="(340,310)" to="(340,320)"/>
    438     <wire from="(270,480)" to="(270,500)"/>
    439     <wire from="(260,790)" to="(260,810)"/>
    440     <wire from="(340,70)" to="(340,90)"/>
    441     <wire from="(340,790)" to="(340,810)"/>
    442     <wire from="(870,190)" to="(870,540)"/>
    443     <wire from="(190,560)" to="(190,580)"/>
    444     <wire from="(200,730)" to="(200,750)"/>
    445     <wire from="(140,140)" to="(140,230)"/>
    446     <wire from="(300,270)" to="(300,300)"/>
    447     <wire from="(290,740)" to="(290,770)"/>
    448     <wire from="(260,340)" to="(260,430)"/>
    449     <wire from="(150,380)" to="(180,380)"/>
    450     <wire from="(870,150)" to="(890,150)"/>
    451     <wire from="(870,70)" to="(890,70)"/>
    452     <wire from="(320,160)" to="(340,160)"/>
    453     <wire from="(250,570)" to="(270,570)"/>
    454     <wire from="(320,720)" to="(340,720)"/>
    455     <wire from="(730,320)" to="(760,320)"/>
    456     <wire from="(730,240)" to="(760,240)"/>
    457     <wire from="(180,70)" to="(180,110)"/>
    458     <wire from="(190,110)" to="(210,110)"/>
    459     <wire from="(130,450)" to="(150,450)"/>
    460     <wire from="(130,210)" to="(150,210)"/>
    461     <wire from="(190,590)" to="(210,590)"/>
    462     <wire from="(280,290)" to="(290,290)"/>
    463     <wire from="(290,780)" to="(300,780)"/>
    464     <wire from="(290,540)" to="(300,540)"/>
    465     <wire from="(330,580)" to="(340,580)"/>
    466     <wire from="(140,230)" to="(150,230)"/>
    467     <wire from="(520,410)" to="(520,470)"/>
    468     <wire from="(200,210)" to="(210,210)"/>
    469     <wire from="(190,280)" to="(200,280)"/>
    470     <wire from="(220,150)" to="(230,150)"/>
    471     <wire from="(200,450)" to="(210,450)"/>
    472     <wire from="(830,280)" to="(840,280)"/>
    473     <wire from="(880,170)" to="(890,170)"/>
    474     <wire from="(750,290)" to="(750,300)"/>
    475     <wire from="(740,520)" to="(740,530)"/>
    476     <wire from="(550,330)" to="(550,340)"/>
    477     <wire from="(320,450)" to="(320,520)"/>
    478     <wire from="(810,190)" to="(810,200)"/>
    479     <wire from="(590,220)" to="(960,220)"/>
    480     <wire from="(190,130)" to="(190,140)"/>
    481     <wire from="(200,540)" to="(200,550)"/>
    482     <wire from="(340,280)" to="(390,280)"/>
    483     <wire from="(340,760)" to="(340,770)"/>
    484     <wire from="(270,210)" to="(270,230)"/>
    485     <wire from="(340,520)" to="(340,540)"/>
    486     <wire from="(200,460)" to="(200,480)"/>
    487     <wire from="(190,290)" to="(190,310)"/>
    488     <wire from="(180,470)" to="(180,560)"/>
    489     <wire from="(140,590)" to="(140,680)"/>
    490     <wire from="(850,150)" to="(850,170)"/>
    491     <wire from="(220,510)" to="(220,600)"/>
    492     <wire from="(260,70)" to="(260,160)"/>
    493     <wire from="(290,470)" to="(290,500)"/>
    494     <wire from="(300,720)" to="(300,750)"/>
    495     <wire from="(1020,160)" to="(1020,180)"/>
    496     <wire from="(150,110)" to="(180,110)"/>
    497     <wire from="(1000,90)" to="(1020,90)"/>
    498     <wire from="(520,410)" to="(540,410)"/>
    499     <wire from="(510,390)" to="(540,390)"/>
    500     <wire from="(740,310)" to="(760,310)"/>
    501     <wire from="(930,160)" to="(1020,160)"/>
    502     <wire from="(320,610)" to="(340,610)"/>
    503     <wire from="(320,450)" to="(340,450)"/>
    504     <wire from="(130,660)" to="(150,660)"/>
    505     <wire from="(130,180)" to="(150,180)"/>
    506     <wire from="(850,170)" to="(880,170)"/>
    507     <wire from="(190,320)" to="(210,320)"/>
    508     <wire from="(190,560)" to="(210,560)"/>
    509     <wire from="(250,300)" to="(270,300)"/>
    510     <wire from="(280,740)" to="(290,740)"/>
    511     <wire from="(290,270)" to="(300,270)"/>
    512     <wire from="(290,510)" to="(300,510)"/>
    513     <wire from="(330,310)" to="(340,310)"/>
    514     <wire from="(140,680)" to="(150,680)"/>
    515     <wire from="(190,730)" to="(200,730)"/>
    516     <wire from="(200,180)" to="(210,180)"/>
    517     <wire from="(200,660)" to="(210,660)"/>
    518     <wire from="(220,600)" to="(230,600)"/>
    519     <wire from="(790,210)" to="(800,210)"/>
    520     <wire from="(320,180)" to="(320,250)"/>
    521     <wire from="(650,320)" to="(650,330)"/>
    522     <wire from="(820,90)" to="(820,100)"/>
    523     <wire from="(200,270)" to="(200,280)"/>
    524     <wire from="(190,580)" to="(190,590)"/>
    525     <wire from="(520,470)" to="(710,470)"/>
    526     <wire from="(340,730)" to="(390,730)"/>
    527     <wire from="(800,210)" to="(800,340)"/>
    528     <wire from="(340,490)" to="(340,500)"/>
    529     <wire from="(270,660)" to="(270,680)"/>
    530     <wire from="(340,250)" to="(340,270)"/>
    531     <wire from="(140,770)" to="(140,790)"/>
    532     <wire from="(200,190)" to="(200,210)"/>
    533     <wire from="(190,740)" to="(190,760)"/>
    534     <wire from="(140,320)" to="(140,410)"/>
    535     <wire from="(180,200)" to="(180,290)"/>
    536     <wire from="(220,240)" to="(220,330)"/>
    537     <wire from="(300,450)" to="(300,480)"/>
    538     <wire from="(290,200)" to="(290,230)"/>
    539     <wire from="(260,520)" to="(260,610)"/>
    540     <wire from="(150,560)" to="(180,560)"/>
    541     <wire from="(550,380)" to="(550,420)"/>
    542     <wire from="(320,180)" to="(340,180)"/>
    543     <wire from="(250,750)" to="(270,750)"/>
    544     <wire from="(320,340)" to="(340,340)"/>
    545     <wire from="(730,260)" to="(760,260)"/>
    546     <wire from="(130,390)" to="(150,390)"/>
    547     <wire from="(130,630)" to="(150,630)"/>
    548     <wire from="(190,770)" to="(210,770)"/>
    549     <wire from="(190,290)" to="(210,290)"/>
    550     <wire from="(290,720)" to="(300,720)"/>
    551     <wire from="(290,240)" to="(300,240)"/>
    552     <wire from="(280,470)" to="(290,470)"/>
    553     <wire from="(570,270)" to="(640,270)"/>
    554     <wire from="(330,760)" to="(340,760)"/>
    555     <wire from="(140,410)" to="(150,410)"/>
    556     <wire from="(200,630)" to="(210,630)"/>
    557     <wire from="(200,390)" to="(210,390)"/>
    558     <wire from="(190,460)" to="(200,460)"/>
    559     <wire from="(220,330)" to="(230,330)"/>
    560     <wire from="(750,300)" to="(760,300)"/>
    561     <wire from="(740,300)" to="(740,310)"/>
    562     <wire from="(320,630)" to="(320,700)"/>
    563     <wire from="(190,310)" to="(190,320)"/>
    564     <wire from="(200,720)" to="(200,730)"/>
    565     <wire from="(1000,110)" to="(1000,180)"/>
    566     <wire from="(340,460)" to="(390,460)"/>
    567     <wire from="(340,870)" to="(710,870)"/>
    568     <wire from="(340,220)" to="(340,230)"/>
    569     <wire from="(320,840)" to="(320,850)"/>
    570     <wire from="(270,390)" to="(270,410)"/>
    571     <wire from="(340,700)" to="(340,720)"/>
    572     <wire from="(190,470)" to="(190,490)"/>
    573     <wire from="(200,640)" to="(200,660)"/>
    574     <wire from="(220,690)" to="(220,780)"/>
    575     <wire from="(180,650)" to="(180,740)"/>
    576     <wire from="(300,180)" to="(300,210)"/>
    577     <wire from="(290,650)" to="(290,680)"/>
    578     <wire from="(800,340)" to="(800,490)"/>
    579     <wire from="(260,250)" to="(260,340)"/>
    580     <wire from="(700,20)" to="(700,40)"/>
    581     <wire from="(150,290)" to="(180,290)"/>
    582     <wire from="(570,400)" to="(590,400)"/>
    583     <wire from="(550,380)" to="(570,380)"/>
    584     <wire from="(250,480)" to="(270,480)"/>
    585     <wire from="(320,790)" to="(340,790)"/>
    586     <wire from="(320,630)" to="(340,630)"/>
    587     <wire from="(130,360)" to="(150,360)"/>
    588     <wire from="(130,120)" to="(150,120)"/>
    589     <wire from="(190,740)" to="(210,740)"/>
    590     <wire from="(190,500)" to="(210,500)"/>
    591     <wire from="(280,200)" to="(290,200)"/>
    592     <wire from="(290,450)" to="(300,450)"/>
    593     <wire from="(290,690)" to="(300,690)"/>
    594     <wire from="(330,490)" to="(340,490)"/>
    595     <wire from="(140,140)" to="(150,140)"/>
    596     <wire from="(190,190)" to="(200,190)"/>
    597     <wire from="(200,360)" to="(210,360)"/>
    598     <wire from="(200,120)" to="(210,120)"/>
    599     <wire from="(220,780)" to="(230,780)"/>
    600     <wire from="(880,120)" to="(880,170)"/>
    601     <wire from="(640,320)" to="(650,320)"/>
    602     <wire from="(710,320)" to="(710,330)"/>
    603     <wire from="(320,360)" to="(320,430)"/>
    604     <wire from="(190,760)" to="(190,770)"/>
    605     <wire from="(200,450)" to="(200,460)"/>
    606     <wire from="(340,190)" to="(390,190)"/>
    607     <wire from="(650,330)" to="(710,330)"/>
    608     <wire from="(340,670)" to="(340,680)"/>
    609     <wire from="(300,70)" to="(300,90)"/>
    610     <wire from="(270,120)" to="(270,140)"/>
    611     <wire from="(340,430)" to="(340,450)"/>
    612     <wire from="(190,200)" to="(190,220)"/>
    613     <wire from="(200,370)" to="(200,390)"/>
    614     <wire from="(140,500)" to="(140,590)"/>
    615     <wire from="(180,380)" to="(180,470)"/>
    616     <wire from="(220,420)" to="(220,510)"/>
    617     <wire from="(290,380)" to="(290,410)"/>
    618     <wire from="(300,630)" to="(300,660)"/>
    619     <wire from="(570,20)" to="(570,40)"/>
    620     <wire from="(260,700)" to="(260,790)"/>
    621     <wire from="(150,740)" to="(180,740)"/>
    622     <wire from="(570,290)" to="(590,290)"/>
    623     <wire from="(1060,70)" to="(1070,70)"/>
    624     <wire from="(730,290)" to="(750,290)"/>
    625     <wire from="(930,70)" to="(1020,70)"/>
    626     <wire from="(320,520)" to="(340,520)"/>
    627     <wire from="(320,360)" to="(340,360)"/>
    628     <wire from="(730,280)" to="(760,280)"/>
    629     <wire from="(130,90)" to="(150,90)"/>
    630     <wire from="(190,230)" to="(210,230)"/>
    631     <wire from="(130,570)" to="(150,570)"/>
    632     <wire from="(190,470)" to="(210,470)"/>
    633     <wire from="(250,210)" to="(270,210)"/>
    634     <wire from="(290,180)" to="(300,180)"/>
    635     <wire from="(280,650)" to="(290,650)"/>
    636     <wire from="(290,420)" to="(300,420)"/>
    637     <wire from="(330,220)" to="(340,220)"/>
    638     <wire from="(140,590)" to="(150,590)"/>
    639     <wire from="(190,640)" to="(200,640)"/>
    640     <wire from="(200,90)" to="(210,90)"/>
    641     <wire from="(200,570)" to="(210,570)"/>
    642     <wire from="(220,510)" to="(230,510)"/>
    643     <wire from="(730,300)" to="(740,300)"/>
    644     <wire from="(960,220)" to="(1010,220)"/>
    645     <wire from="(730,310)" to="(730,320)"/>
    646     <wire from="(320,90)" to="(320,160)"/>
    647     <wire from="(490,460)" to="(550,460)"/>
    648     <wire from="(140,70)" to="(140,140)"/>
    649     <wire from="(870,190)" to="(990,190)"/>
    650     <wire from="(750,490)" to="(800,490)"/>
    651     <wire from="(200,180)" to="(200,190)"/>
    652     <wire from="(190,490)" to="(190,500)"/>
    653     <wire from="(340,640)" to="(390,640)"/>
    654     <wire from="(340,400)" to="(340,410)"/>
    655     <wire from="(270,570)" to="(270,590)"/>
    656     <wire from="(340,160)" to="(340,180)"/>
    657     <wire from="(220,70)" to="(220,150)"/>
    658     <wire from="(710,520)" to="(710,870)"/>
    659     <wire from="(200,100)" to="(200,120)"/>
    660     <wire from="(190,650)" to="(190,670)"/>
    661     <wire from="(180,110)" to="(180,200)"/>
    662     <wire from="(140,230)" to="(140,320)"/>
    663     <wire from="(220,150)" to="(220,240)"/>
    664     <wire from="(290,110)" to="(290,140)"/>
    665     <wire from="(300,360)" to="(300,390)"/>
    666     <wire from="(260,430)" to="(260,520)"/>
    667     <wire from="(150,470)" to="(180,470)"/>
    668     <wire from="(250,660)" to="(270,660)"/>
    669     <wire from="(320,90)" to="(340,90)"/>
    670     <wire from="(320,250)" to="(340,250)"/>
    671     <wire from="(730,250)" to="(760,250)"/>
    672     <wire from="(130,540)" to="(150,540)"/>
    673     <wire from="(130,300)" to="(150,300)"/>
    674     <wire from="(190,200)" to="(210,200)"/>
    675     <wire from="(190,680)" to="(210,680)"/>
    676     <wire from="(690,540)" to="(760,540)"/>
    677     <wire from="(290,150)" to="(300,150)"/>
    678     <wire from="(290,630)" to="(300,630)"/>
    679     <wire from="(280,380)" to="(290,380)"/>
    680     <wire from="(330,670)" to="(340,670)"/>
    681     <wire from="(140,320)" to="(150,320)"/>
    682     <wire from="(220,240)" to="(230,240)"/>
    683     <wire from="(200,300)" to="(210,300)"/>
    684     <wire from="(190,370)" to="(200,370)"/>
    685     <wire from="(200,540)" to="(210,540)"/>
    686     <wire from="(320,540)" to="(320,610)"/>
    687     <wire from="(190,220)" to="(190,230)"/>
    688     <wire from="(200,630)" to="(200,640)"/>
    689     <wire from="(340,370)" to="(390,370)"/>
    690     <wire from="(960,90)" to="(960,220)"/>
    691     <wire from="(340,130)" to="(340,140)"/>
    692     <wire from="(270,300)" to="(270,320)"/>
    693     <wire from="(340,610)" to="(340,630)"/>
    694     <wire from="(190,380)" to="(190,400)"/>
    695     <wire from="(200,550)" to="(200,570)"/>
    696     <wire from="(320,840)" to="(1070,840)"/>
    697     <wire from="(140,680)" to="(140,770)"/>
    698     <wire from="(180,560)" to="(180,650)"/>
    699     <wire from="(220,600)" to="(220,690)"/>
    700     <wire from="(760,540)" to="(870,540)"/>
    701     <wire from="(290,560)" to="(290,590)"/>
    702     <wire from="(300,90)" to="(300,120)"/>
    703     <wire from="(310,820)" to="(310,850)"/>
    704     <wire from="(260,160)" to="(260,250)"/>
    705     <wire from="(150,200)" to="(180,200)"/>
    706     <wire from="(550,420)" to="(550,460)"/>
    707     <wire from="(500,550)" to="(530,550)"/>
    708     <wire from="(680,20)" to="(700,20)"/>
    709     <wire from="(320,540)" to="(340,540)"/>
    710     <wire from="(320,700)" to="(340,700)"/>
    711     <wire from="(310,820)" to="(840,820)"/>
    712     <wire from="(300,810)" to="(300,850)"/>
    713     <wire from="(130,750)" to="(150,750)"/>
    714     <wire from="(130,270)" to="(150,270)"/>
    715     <wire from="(190,650)" to="(210,650)"/>
    716     <wire from="(190,410)" to="(210,410)"/>
    717     <wire from="(250,390)" to="(270,390)"/>
    718     <wire from="(290,600)" to="(300,600)"/>
    719     <wire from="(290,360)" to="(300,360)"/>
    720     <wire from="(280,110)" to="(290,110)"/>
    721     <wire from="(330,400)" to="(340,400)"/>
    722     <wire from="(140,770)" to="(150,770)"/>
    723     <wire from="(190,100)" to="(200,100)"/>
    724     <wire from="(930,110)" to="(1000,110)"/>
    725     <wire from="(220,690)" to="(230,690)"/>
    726     <wire from="(200,270)" to="(210,270)"/>
    727     <wire from="(200,750)" to="(210,750)"/>
    728     <wire from="(640,270)" to="(640,320)"/>
    729     <wire from="(770,200)" to="(780,200)"/>
    730     <wire from="(530,280)" to="(540,280)"/>
    731     <wire from="(870,100)" to="(870,150)"/>
    732     <comp lib="1" loc="(190,130)" name="XOR Gate">
    733       <a name="size" val="30"/>
    734       <a name="inputs" val="2"/>
    735     </comp>
    736     <comp lib="1" loc="(190,190)" name="XOR Gate">
    737       <a name="size" val="30"/>
    738       <a name="inputs" val="2"/>
    739     </comp>
    740     <comp lib="1" loc="(340,280)" name="XNOR Gate">
    741       <a name="size" val="30"/>
    742       <a name="inputs" val="2"/>
    743     </comp>
    744     <comp lib="1" loc="(250,190)" name="NOR Gate">
    745       <a name="size" val="30"/>
    746       <a name="inputs" val="2"/>
    747     </comp>
    748     <comp lib="0" loc="(680,120)" name="Tunnel">
    749       <a name="facing" val="east"/>
    750       <a name="label" val="a7"/>
    751     </comp>
    752     <comp lib="1" loc="(340,640)" name="XNOR Gate">
    753       <a name="size" val="30"/>
    754       <a name="inputs" val="2"/>
    755     </comp>
    756     <comp lib="0" loc="(390,730)" name="Tunnel">
    757       <a name="label" val="o7"/>
    758     </comp>
    759     <comp lib="0" loc="(670,550)" name="Splitter">
    760       <a name="fanout" val="7"/>
    761       <a name="incoming" val="7"/>
    762     </comp>
    763     <comp lib="4" loc="(670,550)" name="ROM">
    764       <a name="addrWidth" val="4"/>
    765       <a name="dataWidth" val="7"/>
    766       <a name="contents">addr/data: 4 7
    767 3 7 9 a 6 4 30 50
    768 </a>
    769     </comp>
    770     <comp lib="1" loc="(330,670)" name="AND Gate">
    771       <a name="size" val="30"/>
    772       <a name="inputs" val="2"/>
    773       <a name="negate1" val="true"/>
    774     </comp>
    775     <comp lib="1" loc="(190,310)" name="XOR Gate">
    776       <a name="size" val="30"/>
    777       <a name="inputs" val="2"/>
    778     </comp>
    779     <comp lib="1" loc="(190,220)" name="XOR Gate">
    780       <a name="size" val="30"/>
    781       <a name="inputs" val="2"/>
    782     </comp>
    783     <comp lib="0" loc="(670,310)" name="Tunnel">
    784       <a name="label" val="o7"/>
    785     </comp>
    786     <comp lib="0" loc="(770,200)" name="Tunnel">
    787       <a name="facing" val="east"/>
    788       <a name="width" val="8"/>
    789       <a name="label" val="B"/>
    790     </comp>
    791     <comp lib="3" loc="(930,70)" name="BitAdder"/>
    792     <comp lib="2" loc="(820,90)" name="Multiplexer">
    793       <a name="width" val="8"/>
    794       <a name="enable" val="false"/>
    795     </comp>
    796     <comp lib="2" loc="(320,890)" name="Multiplexer">
    797       <a name="facing" val="south"/>
    798       <a name="selloc" val="tr"/>
    799       <a name="select" val="2"/>
    800       <a name="enable" val="false"/>
    801     </comp>
    802     <comp lib="0" loc="(650,320)" name="Splitter">
    803       <a name="fanout" val="8"/>
    804       <a name="incoming" val="8"/>
    805     </comp>
    806     <comp lib="0" loc="(550,120)" name="Tunnel">
    807       <a name="facing" val="east"/>
    808       <a name="label" val="b7"/>
    809     </comp>
    810     <comp lib="1" loc="(190,280)" name="XOR Gate">
    811       <a name="size" val="30"/>
    812       <a name="inputs" val="2"/>
    813     </comp>
    814     <comp lib="0" loc="(680,60)" name="Tunnel">
    815       <a name="facing" val="east"/>
    816       <a name="label" val="a1"/>
    817     </comp>
    818     <comp lib="0" loc="(130,180)" name="Tunnel">
    819       <a name="facing" val="east"/>
    820       <a name="label" val="b1"/>
    821     </comp>
    822     <comp lib="0" loc="(670,280)" name="Tunnel">
    823       <a name="label" val="o4"/>
    824     </comp>
    825     <comp lib="0" loc="(810,200)" name="Splitter">
    826       <a name="fanout" val="8"/>
    827       <a name="incoming" val="8"/>
    828     </comp>
    829     <comp lib="6" loc="(880,461)" name="Text">
    830       <a name="text" val="OPCODES: 000: OR, 001: AND, 010: XOR, 011: ADD, 100: CIN, 101: NAND, 110: XNOR, 111: SUB"/>
    831     </comp>
    832     <comp lib="1" loc="(190,760)" name="XOR Gate">
    833       <a name="size" val="30"/>
    834       <a name="inputs" val="2"/>
    835     </comp>
    836     <comp lib="0" loc="(140,70)" name="Tunnel">
    837       <a name="facing" val="south"/>
    838       <a name="label" val="!A"/>
    839     </comp>
    840     <comp lib="3" loc="(1000,90)" name="BitAdder"/>
    841     <comp lib="1" loc="(240,580)" name="AND Gate">
    842       <a name="size" val="30"/>
    843       <a name="inputs" val="2"/>
    844     </comp>
    845     <comp lib="1" loc="(190,640)" name="XOR Gate">
    846       <a name="size" val="30"/>
    847       <a name="inputs" val="2"/>
    848     </comp>
    849     <comp lib="1" loc="(300,450)" name="OR Gate">
    850       <a name="facing" val="south"/>
    851       <a name="size" val="30"/>
    852       <a name="inputs" val="2"/>
    853     </comp>
    854     <comp lib="1" loc="(270,590)" name="AND Gate">
    855       <a name="size" val="30"/>
    856       <a name="inputs" val="2"/>
    857       <a name="negate1" val="true"/>
    858     </comp>
    859     <comp lib="0" loc="(790,80)" name="Constant">
    860       <a name="width" val="8"/>
    861       <a name="value" val="0x0"/>
    862     </comp>
    863     <comp lib="0" loc="(130,480)" name="Tunnel">
    864       <a name="facing" val="east"/>
    865       <a name="label" val="a4"/>
    866     </comp>
    867     <comp lib="0" loc="(670,250)" name="Tunnel">
    868       <a name="label" val="o1"/>
    869     </comp>
    870     <comp lib="1" loc="(330,130)" name="AND Gate">
    871       <a name="size" val="30"/>
    872       <a name="inputs" val="2"/>
    873       <a name="negate1" val="true"/>
    874     </comp>
    875     <comp lib="6" loc="(617,355)" name="Text">
    876       <a name="text" val="Opcodes"/>
    877     </comp>
    878     <comp lib="0" loc="(390,550)" name="Tunnel">
    879       <a name="label" val="o5"/>
    880     </comp>
    881     <comp lib="1" loc="(190,100)" name="XOR Gate">
    882       <a name="size" val="30"/>
    883       <a name="inputs" val="2"/>
    884     </comp>
    885     <comp lib="1" loc="(270,680)" name="AND Gate">
    886       <a name="size" val="30"/>
    887       <a name="inputs" val="2"/>
    888       <a name="negate1" val="true"/>
    889     </comp>
    890     <comp lib="1" loc="(250,550)" name="NOR Gate">
    891       <a name="size" val="30"/>
    892       <a name="inputs" val="2"/>
    893     </comp>
    894     <comp lib="0" loc="(130,450)" name="Tunnel">
    895       <a name="facing" val="east"/>
    896       <a name="label" val="b4"/>
    897     </comp>
    898     <comp lib="0" loc="(260,70)" name="Tunnel">
    899       <a name="facing" val="south"/>
    900       <a name="label" val="CC"/>
    901     </comp>
    902     <comp lib="1" loc="(270,140)" name="AND Gate">
    903       <a name="size" val="30"/>
    904       <a name="inputs" val="2"/>
    905       <a name="negate1" val="true"/>
    906     </comp>
    907     <comp lib="0" loc="(850,150)" name="Splitter">
    908       <a name="facing" val="west"/>
    909       <a name="fanout" val="3"/>
    910       <a name="incoming" val="3"/>
    911       <a name="appear" val="right"/>
    912     </comp>
    913     <comp lib="0" loc="(320,890)" name="Pin">
    914       <a name="facing" val="north"/>
    915       <a name="output" val="true"/>
    916       <a name="tristate" val="false"/>
    917       <a name="label" val="FLAG"/>
    918       <a name="labelloc" val="east"/>
    919     </comp>
    920     <comp lib="0" loc="(640,440)" name="Constant"/>
    921     <comp lib="1" loc="(250,730)" name="NOR Gate">
    922       <a name="size" val="30"/>
    923       <a name="inputs" val="2"/>
    924     </comp>
    925     <comp lib="1" loc="(340,100)" name="XNOR Gate">
    926       <a name="size" val="30"/>
    927       <a name="inputs" val="2"/>
    928     </comp>
    929     <comp lib="1" loc="(310,150)" name="OR Gate">
    930       <a name="facing" val="west"/>
    931       <a name="size" val="30"/>
    932       <a name="inputs" val="2"/>
    933     </comp>
    934     <comp lib="0" loc="(180,70)" name="Tunnel">
    935       <a name="facing" val="south"/>
    936       <a name="label" val="!B"/>
    937     </comp>
    938     <comp lib="0" loc="(130,720)" name="Tunnel">
    939       <a name="facing" val="east"/>
    940       <a name="label" val="b7"/>
    941     </comp>
    942     <comp lib="1" loc="(190,580)" name="XOR Gate">
    943       <a name="size" val="30"/>
    944       <a name="inputs" val="2"/>
    945     </comp>
    946     <comp lib="0" loc="(390,370)" name="Tunnel">
    947       <a name="label" val="o3"/>
    948     </comp>
    949     <comp lib="1" loc="(250,280)" name="NOR Gate">
    950       <a name="size" val="30"/>
    951       <a name="inputs" val="2"/>
    952     </comp>
    953     <comp lib="0" loc="(670,300)" name="Tunnel">
    954       <a name="label" val="o6"/>
    955     </comp>
    956     <comp lib="1" loc="(340,460)" name="XNOR Gate">
    957       <a name="size" val="30"/>
    958       <a name="inputs" val="2"/>
    959     </comp>
    960     <comp lib="1" loc="(340,370)" name="XNOR Gate">
    961       <a name="size" val="30"/>
    962       <a name="inputs" val="2"/>
    963     </comp>
    964     <comp lib="1" loc="(270,320)" name="AND Gate">
    965       <a name="size" val="30"/>
    966       <a name="inputs" val="2"/>
    967       <a name="negate1" val="true"/>
    968     </comp>
    969     <comp lib="1" loc="(300,780)" name="AND Gate">
    970       <a name="size" val="30"/>
    971       <a name="inputs" val="2"/>
    972       <a name="negate1" val="true"/>
    973     </comp>
    974     <comp lib="2" loc="(1010,210)" name="Multiplexer">
    975       <a name="facing" val="south"/>
    976       <a name="width" val="8"/>
    977       <a name="enable" val="false"/>
    978     </comp>
    979     <comp lib="0" loc="(680,80)" name="Tunnel">
    980       <a name="facing" val="east"/>
    981       <a name="label" val="a3"/>
    982     </comp>
    983     <comp lib="0" loc="(710,320)" name="Splitter">
    984       <a name="fanout" val="8"/>
    985       <a name="incoming" val="8"/>
    986     </comp>
    987     <comp lib="0" loc="(510,390)" name="Pin">
    988       <a name="width" val="3"/>
    989       <a name="tristate" val="false"/>
    990       <a name="label" val="OPCODE"/>
    991       <a name="labelloc" val="north"/>
    992     </comp>
    993     <comp lib="1" loc="(190,460)" name="XOR Gate">
    994       <a name="size" val="30"/>
    995       <a name="inputs" val="2"/>
    996     </comp>
    997     <comp lib="0" loc="(340,70)" name="Tunnel">
    998       <a name="facing" val="south"/>
    999       <a name="label" val="FC"/>
   1000     </comp>
   1001     <comp lib="1" loc="(300,240)" name="AND Gate">
   1002       <a name="size" val="30"/>
   1003       <a name="inputs" val="2"/>
   1004       <a name="negate1" val="true"/>
   1005     </comp>
   1006     <comp lib="0" loc="(390,460)" name="Tunnel">
   1007       <a name="label" val="o4"/>
   1008     </comp>
   1009     <comp lib="3" loc="(930,160)" name="Shifter">
   1010       <a name="shift" val="lr"/>
   1011     </comp>
   1012     <comp lib="0" loc="(130,390)" name="Tunnel">
   1013       <a name="facing" val="east"/>
   1014       <a name="label" val="a3"/>
   1015     </comp>
   1016     <comp lib="0" loc="(750,380)" name="Tunnel">
   1017       <a name="facing" val="south"/>
   1018       <a name="label" val="!B"/>
   1019     </comp>
   1020     <comp lib="2" loc="(810,190)" name="Multiplexer">
   1021       <a name="width" val="8"/>
   1022       <a name="enable" val="false"/>
   1023     </comp>
   1024     <comp lib="0" loc="(390,190)" name="Tunnel">
   1025       <a name="label" val="o1"/>
   1026     </comp>
   1027     <comp lib="1" loc="(300,420)" name="AND Gate">
   1028       <a name="size" val="30"/>
   1029       <a name="inputs" val="2"/>
   1030       <a name="negate1" val="true"/>
   1031     </comp>
   1032     <comp lib="0" loc="(340,850)" name="Constant">
   1033       <a name="facing" val="south"/>
   1034       <a name="value" val="0x0"/>
   1035     </comp>
   1036     <comp lib="1" loc="(280,650)" name="OR Gate">
   1037       <a name="size" val="30"/>
   1038       <a name="inputs" val="2"/>
   1039     </comp>
   1040     <comp lib="1" loc="(310,330)" name="OR Gate">
   1041       <a name="facing" val="west"/>
   1042       <a name="size" val="30"/>
   1043       <a name="inputs" val="2"/>
   1044     </comp>
   1045     <comp lib="1" loc="(280,110)" name="OR Gate">
   1046       <a name="size" val="30"/>
   1047       <a name="inputs" val="2"/>
   1048     </comp>
   1049     <comp lib="0" loc="(530,280)" name="Pin">
   1050       <a name="output" val="true"/>
   1051       <a name="width" val="8"/>
   1052       <a name="label" val="OUTPUT"/>
   1053       <a name="labelloc" val="north"/>
   1054     </comp>
   1055     <comp lib="0" loc="(550,100)" name="Tunnel">
   1056       <a name="facing" val="east"/>
   1057       <a name="label" val="b5"/>
   1058     </comp>
   1059     <comp lib="1" loc="(190,490)" name="XOR Gate">
   1060       <a name="size" val="30"/>
   1061       <a name="inputs" val="2"/>
   1062     </comp>
   1063     <comp lib="0" loc="(130,570)" name="Tunnel">
   1064       <a name="facing" val="east"/>
   1065       <a name="label" val="a5"/>
   1066     </comp>
   1067     <comp lib="0" loc="(130,120)" name="Tunnel">
   1068       <a name="facing" val="east"/>
   1069       <a name="label" val="a0"/>
   1070     </comp>
   1071     <comp lib="1" loc="(270,230)" name="AND Gate">
   1072       <a name="size" val="30"/>
   1073       <a name="inputs" val="2"/>
   1074       <a name="negate1" val="true"/>
   1075     </comp>
   1076     <comp lib="0" loc="(680,90)" name="Tunnel">
   1077       <a name="facing" val="east"/>
   1078       <a name="label" val="a4"/>
   1079     </comp>
   1080     <comp lib="1" loc="(310,510)" name="OR Gate">
   1081       <a name="facing" val="west"/>
   1082       <a name="size" val="30"/>
   1083       <a name="inputs" val="2"/>
   1084     </comp>
   1085     <comp lib="0" loc="(330,850)" name="Constant">
   1086       <a name="facing" val="south"/>
   1087       <a name="value" val="0x0"/>
   1088     </comp>
   1089     <comp lib="1" loc="(190,670)" name="XOR Gate">
   1090       <a name="size" val="30"/>
   1091       <a name="inputs" val="2"/>
   1092     </comp>
   1093     <comp lib="0" loc="(130,210)" name="Tunnel">
   1094       <a name="facing" val="east"/>
   1095       <a name="label" val="a1"/>
   1096     </comp>
   1097     <comp lib="1" loc="(240,220)" name="AND Gate">
   1098       <a name="size" val="30"/>
   1099       <a name="inputs" val="2"/>
   1100     </comp>
   1101     <comp lib="2" loc="(540,280)" name="Multiplexer">
   1102       <a name="facing" val="west"/>
   1103       <a name="width" val="8"/>
   1104       <a name="enable" val="false"/>
   1105     </comp>
   1106     <comp lib="2" loc="(570,400)" name="Multiplexer">
   1107       <a name="width" val="3"/>
   1108       <a name="enable" val="false"/>
   1109     </comp>
   1110     <comp lib="0" loc="(550,50)" name="Tunnel">
   1111       <a name="facing" val="east"/>
   1112       <a name="label" val="b0"/>
   1113     </comp>
   1114     <comp lib="0" loc="(550,70)" name="Tunnel">
   1115       <a name="facing" val="east"/>
   1116       <a name="label" val="b2"/>
   1117     </comp>
   1118     <comp lib="0" loc="(780,380)" name="Tunnel">
   1119       <a name="facing" val="south"/>
   1120       <a name="label" val="CI"/>
   1121     </comp>
   1122     <comp lib="0" loc="(550,110)" name="Tunnel">
   1123       <a name="facing" val="east"/>
   1124       <a name="label" val="b6"/>
   1125     </comp>
   1126     <comp lib="0" loc="(300,70)" name="Tunnel">
   1127       <a name="facing" val="south"/>
   1128       <a name="label" val="CI"/>
   1129     </comp>
   1130     <comp lib="1" loc="(330,760)" name="AND Gate">
   1131       <a name="size" val="30"/>
   1132       <a name="inputs" val="2"/>
   1133       <a name="negate1" val="true"/>
   1134     </comp>
   1135     <comp lib="0" loc="(700,40)" name="Splitter">
   1136       <a name="facing" val="west"/>
   1137       <a name="fanout" val="8"/>
   1138       <a name="incoming" val="8"/>
   1139     </comp>
   1140     <comp lib="0" loc="(130,660)" name="Tunnel">
   1141       <a name="facing" val="east"/>
   1142       <a name="label" val="a6"/>
   1143     </comp>
   1144     <comp lib="1" loc="(280,200)" name="OR Gate">
   1145       <a name="size" val="30"/>
   1146       <a name="inputs" val="2"/>
   1147     </comp>
   1148     <comp lib="1" loc="(270,770)" name="AND Gate">
   1149       <a name="size" val="30"/>
   1150       <a name="inputs" val="2"/>
   1151       <a name="negate1" val="true"/>
   1152     </comp>
   1153     <comp lib="1" loc="(310,420)" name="OR Gate">
   1154       <a name="facing" val="west"/>
   1155       <a name="size" val="30"/>
   1156       <a name="inputs" val="2"/>
   1157     </comp>
   1158     <comp lib="1" loc="(240,760)" name="AND Gate">
   1159       <a name="size" val="30"/>
   1160       <a name="inputs" val="2"/>
   1161     </comp>
   1162     <comp lib="1" loc="(240,310)" name="AND Gate">
   1163       <a name="size" val="30"/>
   1164       <a name="inputs" val="2"/>
   1165     </comp>
   1166     <comp lib="1" loc="(310,240)" name="OR Gate">
   1167       <a name="facing" val="west"/>
   1168       <a name="size" val="30"/>
   1169       <a name="inputs" val="2"/>
   1170     </comp>
   1171     <comp lib="0" loc="(700,40)" name="Pin">
   1172       <a name="facing" val="west"/>
   1173       <a name="width" val="8"/>
   1174       <a name="tristate" val="false"/>
   1175       <a name="label" val="Input A"/>
   1176       <a name="labelloc" val="south"/>
   1177     </comp>
   1178     <comp lib="1" loc="(300,690)" name="AND Gate">
   1179       <a name="size" val="30"/>
   1180       <a name="inputs" val="2"/>
   1181       <a name="negate1" val="true"/>
   1182     </comp>
   1183     <comp lib="0" loc="(130,630)" name="Tunnel">
   1184       <a name="facing" val="east"/>
   1185       <a name="label" val="b6"/>
   1186     </comp>
   1187     <comp lib="1" loc="(300,270)" name="OR Gate">
   1188       <a name="facing" val="south"/>
   1189       <a name="size" val="30"/>
   1190       <a name="inputs" val="2"/>
   1191     </comp>
   1192     <comp lib="0" loc="(780,180)" name="Constant">
   1193       <a name="width" val="8"/>
   1194       <a name="value" val="0x0"/>
   1195     </comp>
   1196     <comp lib="0" loc="(680,100)" name="Tunnel">
   1197       <a name="facing" val="east"/>
   1198       <a name="label" val="a5"/>
   1199     </comp>
   1200     <comp lib="1" loc="(250,370)" name="NOR Gate">
   1201       <a name="size" val="30"/>
   1202       <a name="inputs" val="2"/>
   1203     </comp>
   1204     <comp lib="1" loc="(300,600)" name="AND Gate">
   1205       <a name="size" val="30"/>
   1206       <a name="inputs" val="2"/>
   1207       <a name="negate1" val="true"/>
   1208     </comp>
   1209     <comp lib="3" loc="(930,110)" name="Shifter"/>
   1210     <comp lib="0" loc="(740,380)" name="Tunnel">
   1211       <a name="facing" val="south"/>
   1212       <a name="label" val="!A"/>
   1213     </comp>
   1214     <comp lib="0" loc="(570,40)" name="Pin">
   1215       <a name="facing" val="west"/>
   1216       <a name="width" val="8"/>
   1217       <a name="tristate" val="false"/>
   1218       <a name="label" val="Input B"/>
   1219       <a name="labelloc" val="south"/>
   1220     </comp>
   1221     <comp lib="0" loc="(570,40)" name="Splitter">
   1222       <a name="facing" val="west"/>
   1223       <a name="fanout" val="8"/>
   1224       <a name="incoming" val="8"/>
   1225     </comp>
   1226     <comp lib="0" loc="(490,460)" name="Pin">
   1227       <a name="tristate" val="false"/>
   1228       <a name="label" val="STATE"/>
   1229       <a name="labelloc" val="south"/>
   1230     </comp>
   1231     <comp lib="1" loc="(310,780)" name="OR Gate">
   1232       <a name="facing" val="west"/>
   1233       <a name="size" val="30"/>
   1234       <a name="inputs" val="2"/>
   1235     </comp>
   1236     <comp lib="0" loc="(680,20)" name="Tunnel">
   1237       <a name="facing" val="east"/>
   1238       <a name="width" val="8"/>
   1239       <a name="label" val="A"/>
   1240     </comp>
   1241     <comp lib="1" loc="(340,190)" name="XNOR Gate">
   1242       <a name="size" val="30"/>
   1243       <a name="inputs" val="2"/>
   1244     </comp>
   1245     <comp lib="0" loc="(220,70)" name="Tunnel">
   1246       <a name="facing" val="south"/>
   1247       <a name="label" val="OR"/>
   1248     </comp>
   1249     <comp lib="4" loc="(730,400)" name="ROM">
   1250       <a name="addrWidth" val="3"/>
   1251       <a name="dataWidth" val="6"/>
   1252       <a name="contents">addr/data: 3 6
   1253 8 3b 4 0 2 38 7 12
   1254 </a>
   1255     </comp>
   1256     <comp lib="1" loc="(330,490)" name="AND Gate">
   1257       <a name="size" val="30"/>
   1258       <a name="inputs" val="2"/>
   1259       <a name="negate1" val="true"/>
   1260     </comp>
   1261     <comp lib="0" loc="(710,520)" name="Splitter">
   1262       <a name="facing" val="west"/>
   1263       <a name="appear" val="center"/>
   1264     </comp>
   1265     <comp lib="1" loc="(190,730)" name="XOR Gate">
   1266       <a name="size" val="30"/>
   1267       <a name="inputs" val="2"/>
   1268     </comp>
   1269     <comp lib="1" loc="(240,130)" name="AND Gate">
   1270       <a name="size" val="30"/>
   1271       <a name="inputs" val="2"/>
   1272     </comp>
   1273     <comp lib="1" loc="(300,630)" name="OR Gate">
   1274       <a name="facing" val="south"/>
   1275       <a name="size" val="30"/>
   1276       <a name="inputs" val="2"/>
   1277     </comp>
   1278     <comp lib="1" loc="(830,280)" name="OR Gate">
   1279       <a name="size" val="70"/>
   1280       <a name="inputs" val="8"/>
   1281     </comp>
   1282     <comp lib="0" loc="(390,100)" name="Tunnel">
   1283       <a name="label" val="o0"/>
   1284     </comp>
   1285     <comp lib="0" loc="(130,90)" name="Tunnel">
   1286       <a name="facing" val="east"/>
   1287       <a name="label" val="b0"/>
   1288     </comp>
   1289     <comp lib="1" loc="(310,690)" name="OR Gate">
   1290       <a name="facing" val="west"/>
   1291       <a name="size" val="30"/>
   1292       <a name="inputs" val="2"/>
   1293     </comp>
   1294     <comp lib="0" loc="(500,550)" name="Pin">
   1295       <a name="width" val="4"/>
   1296       <a name="tristate" val="false"/>
   1297       <a name="label" val="DYN OP"/>
   1298       <a name="labelloc" val="south"/>
   1299     </comp>
   1300     <comp lib="1" loc="(240,670)" name="AND Gate">
   1301       <a name="size" val="30"/>
   1302       <a name="inputs" val="2"/>
   1303     </comp>
   1304     <comp lib="1" loc="(190,400)" name="XOR Gate">
   1305       <a name="size" val="30"/>
   1306       <a name="inputs" val="2"/>
   1307     </comp>
   1308     <comp lib="0" loc="(550,80)" name="Tunnel">
   1309       <a name="facing" val="east"/>
   1310       <a name="label" val="b3"/>
   1311     </comp>
   1312     <comp lib="0" loc="(730,400)" name="Splitter">
   1313       <a name="facing" val="north"/>
   1314       <a name="fanout" val="6"/>
   1315       <a name="incoming" val="6"/>
   1316       <a name="appear" val="right"/>
   1317     </comp>
   1318     <comp lib="0" loc="(130,300)" name="Tunnel">
   1319       <a name="facing" val="east"/>
   1320       <a name="label" val="a2"/>
   1321     </comp>
   1322     <comp lib="1" loc="(330,310)" name="AND Gate">
   1323       <a name="size" val="30"/>
   1324       <a name="inputs" val="2"/>
   1325       <a name="negate1" val="true"/>
   1326     </comp>
   1327     <comp lib="0" loc="(130,360)" name="Tunnel">
   1328       <a name="facing" val="east"/>
   1329       <a name="label" val="b3"/>
   1330     </comp>
   1331     <comp lib="1" loc="(240,490)" name="AND Gate">
   1332       <a name="size" val="30"/>
   1333       <a name="inputs" val="2"/>
   1334     </comp>
   1335     <comp lib="1" loc="(560,300)" name="AND Gate">
   1336       <a name="facing" val="north"/>
   1337       <a name="size" val="30"/>
   1338       <a name="inputs" val="2"/>
   1339     </comp>
   1340     <comp lib="1" loc="(270,500)" name="AND Gate">
   1341       <a name="size" val="30"/>
   1342       <a name="inputs" val="2"/>
   1343       <a name="negate1" val="true"/>
   1344     </comp>
   1345     <comp lib="1" loc="(250,460)" name="NOR Gate">
   1346       <a name="size" val="30"/>
   1347       <a name="inputs" val="2"/>
   1348     </comp>
   1349     <comp lib="1" loc="(300,180)" name="OR Gate">
   1350       <a name="facing" val="south"/>
   1351       <a name="size" val="30"/>
   1352       <a name="inputs" val="2"/>
   1353     </comp>
   1354     <comp lib="0" loc="(130,750)" name="Tunnel">
   1355       <a name="facing" val="east"/>
   1356       <a name="label" val="a7"/>
   1357     </comp>
   1358     <comp lib="0" loc="(390,640)" name="Tunnel">
   1359       <a name="label" val="o6"/>
   1360     </comp>
   1361     <comp lib="0" loc="(670,260)" name="Tunnel">
   1362       <a name="label" val="o2"/>
   1363     </comp>
   1364     <comp lib="1" loc="(300,720)" name="OR Gate">
   1365       <a name="facing" val="south"/>
   1366       <a name="size" val="30"/>
   1367       <a name="inputs" val="2"/>
   1368     </comp>
   1369     <comp lib="1" loc="(250,100)" name="NOR Gate">
   1370       <a name="size" val="30"/>
   1371       <a name="inputs" val="2"/>
   1372     </comp>
   1373     <comp lib="1" loc="(270,410)" name="AND Gate">
   1374       <a name="size" val="30"/>
   1375       <a name="inputs" val="2"/>
   1376       <a name="negate1" val="true"/>
   1377     </comp>
   1378     <comp lib="1" loc="(300,360)" name="OR Gate">
   1379       <a name="facing" val="south"/>
   1380       <a name="size" val="30"/>
   1381       <a name="inputs" val="2"/>
   1382     </comp>
   1383     <comp lib="1" loc="(190,370)" name="XOR Gate">
   1384       <a name="size" val="30"/>
   1385       <a name="inputs" val="2"/>
   1386     </comp>
   1387     <comp lib="1" loc="(330,400)" name="AND Gate">
   1388       <a name="size" val="30"/>
   1389       <a name="inputs" val="2"/>
   1390       <a name="negate1" val="true"/>
   1391     </comp>
   1392     <comp lib="1" loc="(300,330)" name="AND Gate">
   1393       <a name="size" val="30"/>
   1394       <a name="inputs" val="2"/>
   1395       <a name="negate1" val="true"/>
   1396     </comp>
   1397     <comp lib="0" loc="(680,70)" name="Tunnel">
   1398       <a name="facing" val="east"/>
   1399       <a name="label" val="a2"/>
   1400     </comp>
   1401     <comp lib="3" loc="(1060,80)" name="Comparator">
   1402       <a name="width" val="4"/>
   1403     </comp>
   1404     <comp lib="0" loc="(680,50)" name="Tunnel">
   1405       <a name="facing" val="east"/>
   1406       <a name="label" val="a0"/>
   1407     </comp>
   1408     <comp lib="0" loc="(550,60)" name="Tunnel">
   1409       <a name="facing" val="east"/>
   1410       <a name="label" val="b1"/>
   1411     </comp>
   1412     <comp lib="1" loc="(750,490)" name="OR Gate">
   1413       <a name="facing" val="north"/>
   1414       <a name="size" val="30"/>
   1415       <a name="inputs" val="2"/>
   1416     </comp>
   1417     <comp lib="0" loc="(130,540)" name="Tunnel">
   1418       <a name="facing" val="east"/>
   1419       <a name="label" val="b5"/>
   1420     </comp>
   1421     <comp lib="0" loc="(550,20)" name="Tunnel">
   1422       <a name="facing" val="east"/>
   1423       <a name="width" val="8"/>
   1424       <a name="label" val="B"/>
   1425     </comp>
   1426     <comp lib="0" loc="(390,280)" name="Tunnel">
   1427       <a name="label" val="o2"/>
   1428     </comp>
   1429     <comp lib="1" loc="(280,740)" name="OR Gate">
   1430       <a name="size" val="30"/>
   1431       <a name="inputs" val="2"/>
   1432     </comp>
   1433     <comp lib="1" loc="(240,400)" name="AND Gate">
   1434       <a name="size" val="30"/>
   1435       <a name="inputs" val="2"/>
   1436     </comp>
   1437     <comp lib="1" loc="(310,600)" name="OR Gate">
   1438       <a name="facing" val="west"/>
   1439       <a name="size" val="30"/>
   1440       <a name="inputs" val="2"/>
   1441     </comp>
   1442     <comp lib="0" loc="(670,240)" name="Tunnel">
   1443       <a name="label" val="o0"/>
   1444     </comp>
   1445     <comp lib="1" loc="(300,150)" name="AND Gate">
   1446       <a name="size" val="30"/>
   1447       <a name="inputs" val="2"/>
   1448       <a name="negate1" val="true"/>
   1449     </comp>
   1450     <comp lib="1" loc="(280,380)" name="OR Gate">
   1451       <a name="size" val="30"/>
   1452       <a name="inputs" val="2"/>
   1453     </comp>
   1454     <comp lib="1" loc="(300,540)" name="OR Gate">
   1455       <a name="facing" val="south"/>
   1456       <a name="size" val="30"/>
   1457       <a name="inputs" val="2"/>
   1458     </comp>
   1459     <comp lib="1" loc="(340,730)" name="XNOR Gate">
   1460       <a name="size" val="30"/>
   1461       <a name="inputs" val="2"/>
   1462     </comp>
   1463     <comp lib="0" loc="(550,90)" name="Tunnel">
   1464       <a name="facing" val="east"/>
   1465       <a name="label" val="b4"/>
   1466     </comp>
   1467     <comp lib="1" loc="(280,560)" name="OR Gate">
   1468       <a name="size" val="30"/>
   1469       <a name="inputs" val="2"/>
   1470     </comp>
   1471     <comp lib="1" loc="(190,550)" name="XOR Gate">
   1472       <a name="size" val="30"/>
   1473       <a name="inputs" val="2"/>
   1474     </comp>
   1475     <comp lib="1" loc="(250,640)" name="NOR Gate">
   1476       <a name="size" val="30"/>
   1477       <a name="inputs" val="2"/>
   1478     </comp>
   1479     <comp lib="1" loc="(280,290)" name="OR Gate">
   1480       <a name="size" val="30"/>
   1481       <a name="inputs" val="2"/>
   1482     </comp>
   1483     <comp lib="0" loc="(130,270)" name="Tunnel">
   1484       <a name="facing" val="east"/>
   1485       <a name="label" val="b2"/>
   1486     </comp>
   1487     <comp lib="0" loc="(670,270)" name="Tunnel">
   1488       <a name="label" val="o3"/>
   1489     </comp>
   1490     <comp lib="0" loc="(760,380)" name="Tunnel">
   1491       <a name="facing" val="south"/>
   1492       <a name="label" val="OR"/>
   1493     </comp>
   1494     <comp lib="0" loc="(780,100)" name="Tunnel">
   1495       <a name="facing" val="east"/>
   1496       <a name="width" val="8"/>
   1497       <a name="label" val="A"/>
   1498     </comp>
   1499     <comp lib="1" loc="(330,220)" name="AND Gate">
   1500       <a name="size" val="30"/>
   1501       <a name="inputs" val="2"/>
   1502       <a name="negate1" val="true"/>
   1503     </comp>
   1504     <comp lib="1" loc="(300,810)" name="OR Gate">
   1505       <a name="facing" val="south"/>
   1506       <a name="size" val="30"/>
   1507       <a name="inputs" val="2"/>
   1508     </comp>
   1509     <comp lib="1" loc="(280,470)" name="OR Gate">
   1510       <a name="size" val="30"/>
   1511       <a name="inputs" val="2"/>
   1512     </comp>
   1513     <comp lib="0" loc="(770,380)" name="Tunnel">
   1514       <a name="facing" val="south"/>
   1515       <a name="label" val="CC"/>
   1516     </comp>
   1517     <comp lib="1" loc="(340,550)" name="XNOR Gate">
   1518       <a name="size" val="30"/>
   1519       <a name="inputs" val="2"/>
   1520     </comp>
   1521     <comp lib="0" loc="(670,290)" name="Tunnel">
   1522       <a name="label" val="o5"/>
   1523     </comp>
   1524     <comp lib="0" loc="(710,470)" name="Splitter">
   1525       <a name="facing" val="west"/>
   1526       <a name="fanout" val="3"/>
   1527       <a name="incoming" val="3"/>
   1528     </comp>
   1529     <comp lib="0" loc="(790,380)" name="Tunnel">
   1530       <a name="facing" val="south"/>
   1531       <a name="label" val="FC"/>
   1532     </comp>
   1533     <comp lib="1" loc="(330,580)" name="AND Gate">
   1534       <a name="size" val="30"/>
   1535       <a name="inputs" val="2"/>
   1536       <a name="negate1" val="true"/>
   1537     </comp>
   1538     <comp lib="1" loc="(300,510)" name="AND Gate">
   1539       <a name="size" val="30"/>
   1540       <a name="inputs" val="2"/>
   1541       <a name="negate1" val="true"/>
   1542     </comp>
   1543     <comp lib="0" loc="(680,110)" name="Tunnel">
   1544       <a name="facing" val="east"/>
   1545       <a name="label" val="a6"/>
   1546     </comp>
   1547   </circuit>
   1548   <circuit name="GPR">
   1549     <a name="circuit" val="GPR"/>
   1550     <a name="clabel" val=""/>
   1551     <a name="clabelup" val="east"/>
   1552     <a name="clabelfont" val="SansSerif plain 12"/>
   1553     <appear>
   1554       <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="49" x="50" y="70"/>
   1555       <text font-family="SansSerif" font-size="12" text-anchor="middle" x="74" y="89">GPR</text>
   1556       <circ-port height="8" pin="140,130" width="8" x="86" y="66"/>
   1557       <circ-port height="10" pin="140,230" width="10" x="45" y="75"/>
   1558       <circ-port height="10" pin="140,260" width="10" x="45" y="85"/>
   1559       <circ-port height="8" pin="140,340" width="8" x="56" y="96"/>
   1560       <circ-port height="8" pin="250,340" width="8" x="66" y="96"/>
   1561       <circ-port height="8" pin="250,120" width="8" x="56" y="66"/>
   1562       <circ-port height="8" pin="130,200" width="8" x="96" y="86"/>
   1563       <circ-port height="8" pin="350,280" width="8" x="76" y="96"/>
   1564       <circ-port height="8" pin="130,80" width="8" x="86" y="96"/>
   1565       <circ-anchor facing="east" height="6" width="6" x="47" y="97"/>
   1566     </appear>
   1567     <wire from="(170,120)" to="(170,190)"/>
   1568     <wire from="(220,250)" to="(220,320)"/>
   1569     <wire from="(220,110)" to="(220,180)"/>
   1570     <wire from="(210,120)" to="(210,190)"/>
   1571     <wire from="(250,120)" to="(250,190)"/>
   1572     <wire from="(140,260)" to="(190,260)"/>
   1573     <wire from="(180,300)" to="(180,320)"/>
   1574     <wire from="(160,130)" to="(200,130)"/>
   1575     <wire from="(270,210)" to="(270,240)"/>
   1576     <wire from="(280,240)" to="(280,270)"/>
   1577     <wire from="(170,120)" to="(210,120)"/>
   1578     <wire from="(200,130)" to="(240,130)"/>
   1579     <wire from="(230,260)" to="(270,260)"/>
   1580     <wire from="(190,260)" to="(230,260)"/>
   1581     <wire from="(350,250)" to="(350,280)"/>
   1582     <wire from="(210,120)" to="(250,120)"/>
   1583     <wire from="(170,290)" to="(170,320)"/>
   1584     <wire from="(190,210)" to="(190,240)"/>
   1585     <wire from="(230,210)" to="(230,240)"/>
   1586     <wire from="(180,280)" to="(210,280)"/>
   1587     <wire from="(170,290)" to="(200,290)"/>
   1588     <wire from="(190,110)" to="(220,110)"/>
   1589     <wire from="(360,240)" to="(390,240)"/>
   1590     <wire from="(270,260)" to="(290,260)"/>
   1591     <wire from="(190,170)" to="(190,210)"/>
   1592     <wire from="(230,170)" to="(230,210)"/>
   1593     <wire from="(270,170)" to="(270,210)"/>
   1594     <wire from="(140,130)" to="(160,130)"/>
   1595     <wire from="(150,270)" to="(150,320)"/>
   1596     <wire from="(360,220)" to="(370,220)"/>
   1597     <wire from="(140,340)" to="(150,340)"/>
   1598     <wire from="(240,340)" to="(250,340)"/>
   1599     <wire from="(150,270)" to="(280,270)"/>
   1600     <wire from="(150,110)" to="(150,180)"/>
   1601     <wire from="(200,220)" to="(200,290)"/>
   1602     <wire from="(180,300)" to="(240,300)"/>
   1603     <wire from="(180,190)" to="(180,200)"/>
   1604     <wire from="(190,100)" to="(190,110)"/>
   1605     <wire from="(170,100)" to="(170,110)"/>
   1606     <wire from="(220,190)" to="(220,200)"/>
   1607     <wire from="(200,310)" to="(200,320)"/>
   1608     <wire from="(130,200)" to="(180,200)"/>
   1609     <wire from="(260,190)" to="(260,200)"/>
   1610     <wire from="(180,100)" to="(180,180)"/>
   1611     <wire from="(240,220)" to="(240,300)"/>
   1612     <wire from="(180,200)" to="(220,200)"/>
   1613     <wire from="(170,230)" to="(210,230)"/>
   1614     <wire from="(260,250)" to="(260,280)"/>
   1615     <wire from="(210,230)" to="(250,230)"/>
   1616     <wire from="(250,230)" to="(290,230)"/>
   1617     <wire from="(220,200)" to="(260,200)"/>
   1618     <wire from="(180,250)" to="(180,280)"/>
   1619     <wire from="(200,310)" to="(300,310)"/>
   1620     <wire from="(130,80)" to="(160,80)"/>
   1621     <wire from="(140,230)" to="(170,230)"/>
   1622     <wire from="(230,280)" to="(260,280)"/>
   1623     <wire from="(160,220)" to="(160,320)"/>
   1624     <wire from="(310,230)" to="(330,230)"/>
   1625     <wire from="(280,240)" to="(300,240)"/>
   1626     <wire from="(240,130)" to="(390,130)"/>
   1627     <wire from="(160,130)" to="(160,170)"/>
   1628     <wire from="(200,130)" to="(200,170)"/>
   1629     <wire from="(230,280)" to="(230,320)"/>
   1630     <wire from="(240,130)" to="(240,170)"/>
   1631     <wire from="(210,280)" to="(210,320)"/>
   1632     <wire from="(390,130)" to="(390,240)"/>
   1633     <wire from="(300,270)" to="(300,310)"/>
   1634     <wire from="(170,210)" to="(190,210)"/>
   1635     <wire from="(180,180)" to="(200,180)"/>
   1636     <wire from="(150,110)" to="(170,110)"/>
   1637     <wire from="(250,210)" to="(270,210)"/>
   1638     <wire from="(210,210)" to="(230,210)"/>
   1639     <wire from="(220,180)" to="(240,180)"/>
   1640     <wire from="(260,250)" to="(270,250)"/>
   1641     <wire from="(180,250)" to="(190,250)"/>
   1642     <wire from="(150,180)" to="(160,180)"/>
   1643     <wire from="(220,250)" to="(230,250)"/>
   1644     <comp lib="1" loc="(250,230)" name="Controlled Buffer">
   1645       <a name="facing" val="south"/>
   1646       <a name="width" val="8"/>
   1647     </comp>
   1648     <comp lib="4" loc="(190,170)" name="Register"/>
   1649     <comp lib="0" loc="(250,120)" name="Pin">
   1650       <a name="facing" val="south"/>
   1651       <a name="tristate" val="false"/>
   1652     </comp>
   1653     <comp lib="1" loc="(230,260)" name="Controlled Buffer">
   1654       <a name="facing" val="south"/>
   1655       <a name="width" val="8"/>
   1656     </comp>
   1657     <comp lib="1" loc="(190,260)" name="Controlled Buffer">
   1658       <a name="facing" val="south"/>
   1659       <a name="width" val="8"/>
   1660     </comp>
   1661     <comp lib="0" loc="(250,340)" name="Pin">
   1662       <a name="facing" val="west"/>
   1663       <a name="width" val="2"/>
   1664       <a name="tristate" val="false"/>
   1665       <a name="label" val="Read A"/>
   1666       <a name="labelloc" val="east"/>
   1667     </comp>
   1668     <comp lib="4" loc="(230,170)" name="Register"/>
   1669     <comp lib="0" loc="(130,80)" name="Pin">
   1670       <a name="width" val="2"/>
   1671       <a name="tristate" val="false"/>
   1672       <a name="label" val="Write"/>
   1673     </comp>
   1674     <comp lib="0" loc="(370,220)" name="Constant">
   1675       <a name="facing" val="west"/>
   1676       <a name="width" val="8"/>
   1677       <a name="value" val="0x0"/>
   1678     </comp>
   1679     <comp lib="0" loc="(140,260)" name="Pin">
   1680       <a name="output" val="true"/>
   1681       <a name="width" val="8"/>
   1682       <a name="label" val="Read A"/>
   1683     </comp>
   1684     <comp lib="0" loc="(350,280)" name="Pin">
   1685       <a name="facing" val="north"/>
   1686       <a name="tristate" val="false"/>
   1687       <a name="label" val="Forward Input B"/>
   1688       <a name="labelloc" val="east"/>
   1689     </comp>
   1690     <comp lib="0" loc="(140,130)" name="Pin">
   1691       <a name="width" val="8"/>
   1692       <a name="tristate" val="false"/>
   1693       <a name="label" val="Input"/>
   1694     </comp>
   1695     <comp lib="4" loc="(270,170)" name="Register"/>
   1696     <comp lib="1" loc="(290,230)" name="Controlled Buffer">
   1697       <a name="facing" val="west"/>
   1698       <a name="width" val="8"/>
   1699       <a name="control" val="left"/>
   1700     </comp>
   1701     <comp lib="0" loc="(310,260)" name="Constant">
   1702       <a name="facing" val="west"/>
   1703       <a name="width" val="8"/>
   1704       <a name="value" val="0x0"/>
   1705     </comp>
   1706     <comp lib="0" loc="(140,230)" name="Pin">
   1707       <a name="output" val="true"/>
   1708       <a name="width" val="8"/>
   1709       <a name="label" val="Read B"/>
   1710     </comp>
   1711     <comp lib="2" loc="(150,340)" name="Decoder">
   1712       <a name="facing" val="north"/>
   1713       <a name="select" val="2"/>
   1714       <a name="enable" val="false"/>
   1715     </comp>
   1716     <comp lib="1" loc="(270,260)" name="Controlled Buffer">
   1717       <a name="facing" val="south"/>
   1718       <a name="width" val="8"/>
   1719     </comp>
   1720     <comp lib="1" loc="(290,260)" name="Controlled Buffer">
   1721       <a name="facing" val="west"/>
   1722       <a name="width" val="8"/>
   1723       <a name="control" val="left"/>
   1724     </comp>
   1725     <comp lib="2" loc="(330,230)" name="Multiplexer">
   1726       <a name="facing" val="west"/>
   1727       <a name="width" val="8"/>
   1728       <a name="enable" val="false"/>
   1729     </comp>
   1730     <comp lib="0" loc="(130,200)" name="Pin">
   1731       <a name="tristate" val="false"/>
   1732     </comp>
   1733     <comp lib="0" loc="(140,340)" name="Pin">
   1734       <a name="width" val="2"/>
   1735       <a name="tristate" val="false"/>
   1736       <a name="label" val="Read B"/>
   1737     </comp>
   1738     <comp lib="2" loc="(160,80)" name="Decoder">
   1739       <a name="facing" val="south"/>
   1740       <a name="select" val="2"/>
   1741       <a name="enable" val="false"/>
   1742     </comp>
   1743     <comp lib="1" loc="(210,230)" name="Controlled Buffer">
   1744       <a name="facing" val="south"/>
   1745       <a name="width" val="8"/>
   1746     </comp>
   1747     <comp lib="1" loc="(170,230)" name="Controlled Buffer">
   1748       <a name="facing" val="south"/>
   1749       <a name="width" val="8"/>
   1750     </comp>
   1751     <comp lib="2" loc="(240,340)" name="Decoder">
   1752       <a name="facing" val="north"/>
   1753       <a name="selloc" val="tr"/>
   1754       <a name="select" val="2"/>
   1755       <a name="enable" val="false"/>
   1756     </comp>
   1757   </circuit>
   1758   <circuit name="ISD">
   1759     <a name="circuit" val="ISD"/>
   1760     <a name="clabel" val=""/>
   1761     <a name="clabelup" val="east"/>
   1762     <a name="clabelfont" val="SansSerif plain 12"/>
   1763     <appear>
   1764       <rect fill="none" height="61" stroke="#000000" stroke-width="2" width="100" x="50" y="50"/>
   1765       <text font-family="SansSerif" font-size="12" text-anchor="middle" x="99" y="84">ISD</text>
   1766       <circ-port height="8" pin="80,50" width="8" x="146" y="76"/>
   1767       <circ-port height="8" pin="50,150" width="8" x="66" y="106"/>
   1768       <circ-port height="8" pin="50,250" width="8" x="146" y="96"/>
   1769       <circ-port height="10" pin="440,1490" width="10" x="65" y="45"/>
   1770       <circ-port height="10" pin="620,1490" width="10" x="85" y="45"/>
   1771       <circ-port height="10" pin="660,2000" width="10" x="45" y="95"/>
   1772       <circ-port height="10" pin="760,1490" width="10" x="45" y="65"/>
   1773       <circ-port height="10" pin="800,1490" width="10" x="45" y="55"/>
   1774       <circ-port height="10" pin="840,1720" width="10" x="115" y="45"/>
   1775       <circ-port height="10" pin="880,1720" width="10" x="105" y="45"/>
   1776       <circ-port height="10" pin="920,1720" width="10" x="135" y="45"/>
   1777       <circ-port height="10" pin="1190,1190" width="10" x="75" y="105"/>
   1778       <circ-port height="10" pin="770,2000" width="10" x="45" y="75"/>
   1779       <circ-port height="8" pin="720,1930" width="8" x="56" y="46"/>
   1780       <circ-port height="8" pin="80,1780" width="8" x="46" y="106"/>
   1781       <circ-port height="10" pin="310,1800" width="10" x="55" y="105"/>
   1782       <circ-port height="8" pin="260,1730" width="8" x="46" y="86"/>
   1783       <circ-port height="10" pin="350,1730" width="10" x="145" y="65"/>
   1784       <circ-port height="10" pin="1220,1810" width="10" x="75" y="45"/>
   1785       <circ-port height="10" pin="1190,1360" width="10" x="45" y="45"/>
   1786       <circ-port height="10" pin="570,2000" width="10" x="145" y="85"/>
   1787       <circ-port height="10" pin="440,2000" width="10" x="145" y="55"/>
   1788       <circ-port height="10" pin="1190,1410" width="10" x="125" y="45"/>
   1789       <circ-anchor facing="east" height="6" width="6" x="47" y="57"/>
   1790     </appear>
   1791     <wire from="(610,600)" to="(610,930)"/>
   1792     <wire from="(1180,1820)" to="(1180,1840)"/>
   1793     <wire from="(190,580)" to="(250,580)"/>
   1794     <wire from="(1290,650)" to="(1290,670)"/>
   1795     <wire from="(470,470)" to="(470,480)"/>
   1796     <wire from="(170,960)" to="(280,960)"/>
   1797     <wire from="(520,1410)" to="(520,1630)"/>
   1798     <wire from="(830,920)" to="(870,920)"/>
   1799     <wire from="(830,830)" to="(830,850)"/>
   1800     <wire from="(200,390)" to="(200,480)"/>
   1801     <wire from="(170,1320)" to="(170,1410)"/>
   1802     <wire from="(240,430)" to="(240,520)"/>
   1803     <wire from="(220,90)" to="(220,180)"/>
   1804     <wire from="(240,970)" to="(280,970)"/>
   1805     <wire from="(340,1170)" to="(340,1260)"/>
   1806     <wire from="(240,340)" to="(270,340)"/>
   1807     <wire from="(180,1310)" to="(270,1310)"/>
   1808     <wire from="(730,1800)" to="(750,1800)"/>
   1809     <wire from="(210,1810)" to="(210,1850)"/>
   1810     <wire from="(910,1490)" to="(1040,1490)"/>
   1811     <wire from="(200,1020)" to="(280,1020)"/>
   1812     <wire from="(880,1060)" to="(880,1500)"/>
   1813     <wire from="(450,60)" to="(450,300)"/>
   1814     <wire from="(660,1940)" to="(660,2000)"/>
   1815     <wire from="(650,60)" to="(650,570)"/>
   1816     <wire from="(190,1660)" to="(260,1660)"/>
   1817     <wire from="(840,520)" to="(840,570)"/>
   1818     <wire from="(880,880)" to="(880,930)"/>
   1819     <wire from="(510,1100)" to="(630,1100)"/>
   1820     <wire from="(650,780)" to="(650,790)"/>
   1821     <wire from="(310,1790)" to="(370,1790)"/>
   1822     <wire from="(510,1280)" to="(510,1290)"/>
   1823     <wire from="(270,1630)" to="(520,1630)"/>
   1824     <wire from="(910,1680)" to="(910,1690)"/>
   1825     <wire from="(210,730)" to="(270,730)"/>
   1826     <wire from="(810,810)" to="(810,880)"/>
   1827     <wire from="(230,440)" to="(280,440)"/>
   1828     <wire from="(170,1410)" to="(280,1410)"/>
   1829     <wire from="(180,500)" to="(180,590)"/>
   1830     <wire from="(200,840)" to="(200,930)"/>
   1831     <wire from="(220,540)" to="(220,630)"/>
   1832     <wire from="(450,420)" to="(450,1470)"/>
   1833     <wire from="(850,1810)" to="(850,1890)"/>
   1834     <wire from="(240,880)" to="(240,970)"/>
   1835     <wire from="(1400,690)" to="(1410,690)"/>
   1836     <wire from="(1370,660)" to="(1380,660)"/>
   1837     <wire from="(510,1190)" to="(670,1190)"/>
   1838     <wire from="(590,1270)" to="(750,1270)"/>
   1839     <wire from="(890,1530)" to="(890,1630)"/>
   1840     <wire from="(420,1950)" to="(430,1950)"/>
   1841     <wire from="(1150,740)" to="(1180,740)"/>
   1842     <wire from="(570,60)" to="(570,120)"/>
   1843     <wire from="(690,1140)" to="(690,1200)"/>
   1844     <wire from="(180,500)" to="(250,500)"/>
   1845     <wire from="(840,970)" to="(840,1020)"/>
   1846     <wire from="(210,1620)" to="(210,1680)"/>
   1847     <wire from="(1370,670)" to="(1370,690)"/>
   1848     <wire from="(930,870)" to="(930,880)"/>
   1849     <wire from="(1100,1770)" to="(1140,1770)"/>
   1850     <wire from="(210,1360)" to="(210,1560)"/>
   1851     <wire from="(230,890)" to="(280,890)"/>
   1852     <wire from="(470,1370)" to="(470,1380)"/>
   1853     <wire from="(350,290)" to="(350,300)"/>
   1854     <wire from="(310,1850)" to="(310,1860)"/>
   1855     <wire from="(200,1290)" to="(200,1380)"/>
   1856     <wire from="(180,950)" to="(180,1040)"/>
   1857     <wire from="(220,990)" to="(220,1080)"/>
   1858     <wire from="(900,1480)" to="(900,1500)"/>
   1859     <wire from="(910,1490)" to="(910,1510)"/>
   1860     <wire from="(230,260)" to="(270,260)"/>
   1861     <wire from="(190,940)" to="(280,940)"/>
   1862     <wire from="(670,1690)" to="(680,1690)"/>
   1863     <wire from="(640,60)" to="(650,60)"/>
   1864     <wire from="(370,1470)" to="(430,1470)"/>
   1865     <wire from="(1070,820)" to="(1070,840)"/>
   1866     <wire from="(890,960)" to="(890,970)"/>
   1867     <wire from="(850,600)" to="(850,610)"/>
   1868     <wire from="(230,1340)" to="(280,1340)"/>
   1869     <wire from="(660,1660)" to="(890,1660)"/>
   1870     <wire from="(830,670)" to="(870,670)"/>
   1871     <wire from="(190,130)" to="(190,220)"/>
   1872     <wire from="(230,170)" to="(230,260)"/>
   1873     <wire from="(210,370)" to="(250,370)"/>
   1874     <wire from="(660,1230)" to="(660,1660)"/>
   1875     <wire from="(220,1350)" to="(250,1350)"/>
   1876     <wire from="(1170,790)" to="(1240,790)"/>
   1877     <wire from="(170,420)" to="(250,420)"/>
   1878     <wire from="(190,760)" to="(270,760)"/>
   1879     <wire from="(790,660)" to="(800,660)"/>
   1880     <wire from="(670,1190)" to="(670,1200)"/>
   1881     <wire from="(1320,560)" to="(1320,580)"/>
   1882     <wire from="(550,110)" to="(550,120)"/>
   1883     <wire from="(850,1050)" to="(850,1060)"/>
   1884     <wire from="(1160,1550)" to="(1160,1640)"/>
   1885     <wire from="(1100,1710)" to="(1140,1710)"/>
   1886     <wire from="(1180,740)" to="(1180,770)"/>
   1887     <wire from="(200,480)" to="(250,480)"/>
   1888     <wire from="(220,180)" to="(270,180)"/>
   1889     <wire from="(310,1790)" to="(310,1800)"/>
   1890     <wire from="(590,560)" to="(630,560)"/>
   1891     <wire from="(800,680)" to="(800,710)"/>
   1892     <wire from="(970,1280)" to="(970,1880)"/>
   1893     <wire from="(170,240)" to="(170,330)"/>
   1894     <wire from="(210,1850)" to="(310,1850)"/>
   1895     <wire from="(210,280)" to="(210,370)"/>
   1896     <wire from="(230,620)" to="(230,710)"/>
   1897     <wire from="(190,580)" to="(190,670)"/>
   1898     <wire from="(940,820)" to="(940,840)"/>
   1899     <wire from="(530,150)" to="(530,300)"/>
   1900     <wire from="(750,1270)" to="(750,1290)"/>
   1901     <wire from="(1040,1490)" to="(1040,1730)"/>
   1902     <wire from="(1180,1820)" to="(1190,1820)"/>
   1903     <wire from="(610,1320)" to="(610,1430)"/>
   1904     <wire from="(1270,760)" to="(1410,760)"/>
   1905     <wire from="(1070,820)" to="(1140,820)"/>
   1906     <wire from="(0,60)" to="(80,60)"/>
   1907     <wire from="(100,250)" to="(100,1900)"/>
   1908     <wire from="(1110,1730)" to="(1140,1730)"/>
   1909     <wire from="(1200,630)" to="(1200,730)"/>
   1910     <wire from="(330,920)" to="(590,920)"/>
   1911     <wire from="(450,330)" to="(450,390)"/>
   1912     <wire from="(880,880)" to="(890,880)"/>
   1913     <wire from="(190,70)" to="(190,130)"/>
   1914     <wire from="(600,600)" to="(610,600)"/>
   1915     <wire from="(590,920)" to="(590,930)"/>
   1916     <wire from="(220,1260)" to="(280,1260)"/>
   1917     <wire from="(1230,920)" to="(1230,940)"/>
   1918     <wire from="(330,1190)" to="(510,1190)"/>
   1919     <wire from="(870,1400)" to="(1060,1400)"/>
   1920     <wire from="(170,690)" to="(170,780)"/>
   1921     <wire from="(50,150)" to="(90,150)"/>
   1922     <wire from="(210,730)" to="(210,820)"/>
   1923     <wire from="(870,560)" to="(870,580)"/>
   1924     <wire from="(190,1030)" to="(190,1120)"/>
   1925     <wire from="(860,550)" to="(860,570)"/>
   1926     <wire from="(910,920)" to="(910,940)"/>
   1927     <wire from="(900,910)" to="(900,930)"/>
   1928     <wire from="(230,1070)" to="(230,1160)"/>
   1929     <wire from="(510,290)" to="(550,290)"/>
   1930     <wire from="(1130,620)" to="(1130,890)"/>
   1931     <wire from="(290,1570)" to="(830,1570)"/>
   1932     <wire from="(1130,620)" to="(1140,620)"/>
   1933     <wire from="(690,1890)" to="(850,1890)"/>
   1934     <wire from="(700,1900)" to="(860,1900)"/>
   1935     <wire from="(190,1800)" to="(190,1840)"/>
   1936     <wire from="(180,1400)" to="(180,1640)"/>
   1937     <wire from="(840,970)" to="(850,970)"/>
   1938     <wire from="(920,610)" to="(920,660)"/>
   1939     <wire from="(1030,1790)" to="(1050,1790)"/>
   1940     <wire from="(520,330)" to="(530,330)"/>
   1941     <wire from="(600,1050)" to="(610,1050)"/>
   1942     <wire from="(1000,870)" to="(1080,870)"/>
   1943     <wire from="(1010,880)" to="(1090,880)"/>
   1944     <wire from="(930,1790)" to="(980,1790)"/>
   1945     <wire from="(190,400)" to="(250,400)"/>
   1946     <wire from="(470,290)" to="(470,300)"/>
   1947     <wire from="(200,1380)" to="(250,1380)"/>
   1948     <wire from="(170,780)" to="(280,780)"/>
   1949     <wire from="(1170,540)" to="(1170,610)"/>
   1950     <wire from="(240,250)" to="(240,340)"/>
   1951     <wire from="(210,1180)" to="(210,1270)"/>
   1952     <wire from="(860,1000)" to="(860,1020)"/>
   1953     <wire from="(170,1140)" to="(170,1230)"/>
   1954     <wire from="(870,1010)" to="(870,1030)"/>
   1955     <wire from="(590,1010)" to="(830,1010)"/>
   1956     <wire from="(240,790)" to="(280,790)"/>
   1957     <wire from="(470,380)" to="(510,380)"/>
   1958     <wire from="(240,160)" to="(270,160)"/>
   1959     <wire from="(1370,670)" to="(1380,670)"/>
   1960     <wire from="(180,1130)" to="(270,1130)"/>
   1961     <wire from="(660,1230)" to="(680,1230)"/>
   1962     <wire from="(1340,520)" to="(1340,580)"/>
   1963     <wire from="(1170,540)" to="(1240,540)"/>
   1964     <wire from="(1020,1420)" to="(1150,1420)"/>
   1965     <wire from="(1180,680)" to="(1180,740)"/>
   1966     <wire from="(160,1690)" to="(180,1690)"/>
   1967     <wire from="(1130,890)" to="(1260,890)"/>
   1968     <wire from="(440,60)" to="(450,60)"/>
   1969     <wire from="(770,1230)" to="(770,1290)"/>
   1970     <wire from="(480,420)" to="(490,420)"/>
   1971     <wire from="(200,1620)" to="(200,1670)"/>
   1972     <wire from="(200,840)" to="(280,840)"/>
   1973     <wire from="(1110,1670)" to="(1140,1670)"/>
   1974     <wire from="(110,50)" to="(120,50)"/>
   1975     <wire from="(210,1180)" to="(280,1180)"/>
   1976     <wire from="(190,1480)" to="(190,1600)"/>
   1977     <wire from="(510,1100)" to="(510,1110)"/>
   1978     <wire from="(1100,1780)" to="(1140,1780)"/>
   1979     <wire from="(1150,650)" to="(1150,740)"/>
   1980     <wire from="(430,380)" to="(430,390)"/>
   1981     <wire from="(170,1230)" to="(280,1230)"/>
   1982     <wire from="(790,830)" to="(830,830)"/>
   1983     <wire from="(180,320)" to="(180,410)"/>
   1984     <wire from="(220,360)" to="(220,450)"/>
   1985     <wire from="(200,660)" to="(200,750)"/>
   1986     <wire from="(240,700)" to="(240,790)"/>
   1987     <wire from="(240,1240)" to="(280,1240)"/>
   1988     <wire from="(230,1870)" to="(270,1870)"/>
   1989     <wire from="(590,1180)" to="(590,1200)"/>
   1990     <wire from="(170,600)" to="(270,600)"/>
   1991     <wire from="(220,270)" to="(250,270)"/>
   1992     <wire from="(1120,1830)" to="(1130,1830)"/>
   1993     <wire from="(180,320)" to="(250,320)"/>
   1994     <wire from="(930,1640)" to="(940,1640)"/>
   1995     <wire from="(450,1940)" to="(580,1940)"/>
   1996     <wire from="(1020,1670)" to="(1020,1840)"/>
   1997     <wire from="(520,1230)" to="(530,1230)"/>
   1998     <wire from="(880,700)" to="(880,840)"/>
   1999     <wire from="(930,690)" to="(930,700)"/>
   2000     <wire from="(210,1000)" to="(270,1000)"/>
   2001     <wire from="(190,1300)" to="(250,1300)"/>
   2002     <wire from="(770,920)" to="(830,920)"/>
   2003     <wire from="(370,1790)" to="(370,1930)"/>
   2004     <wire from="(230,710)" to="(280,710)"/>
   2005     <wire from="(350,1710)" to="(350,1730)"/>
   2006     <wire from="(790,650)" to="(1150,650)"/>
   2007     <wire from="(1260,890)" to="(1260,960)"/>
   2008     <wire from="(580,1940)" to="(580,1970)"/>
   2009     <wire from="(200,1110)" to="(200,1200)"/>
   2010     <wire from="(180,770)" to="(180,860)"/>
   2011     <wire from="(220,810)" to="(220,900)"/>
   2012     <wire from="(230,80)" to="(270,80)"/>
   2013     <wire from="(1280,800)" to="(1330,800)"/>
   2014     <wire from="(240,1150)" to="(240,1240)"/>
   2015     <wire from="(1300,670)" to="(1300,910)"/>
   2016     <wire from="(440,1800)" to="(440,1840)"/>
   2017     <wire from="(330,1170)" to="(340,1170)"/>
   2018     <wire from="(570,330)" to="(570,390)"/>
   2019     <wire from="(350,290)" to="(430,290)"/>
   2020     <wire from="(370,60)" to="(370,300)"/>
   2021     <wire from="(180,1660)" to="(190,1660)"/>
   2022     <wire from="(1190,910)" to="(1300,910)"/>
   2023     <wire from="(1190,630)" to="(1190,720)"/>
   2024     <wire from="(230,1160)" to="(280,1160)"/>
   2025     <wire from="(870,1490)" to="(910,1490)"/>
   2026     <wire from="(220,1260)" to="(220,1350)"/>
   2027     <wire from="(180,1220)" to="(180,1310)"/>
   2028     <wire from="(450,1940)" to="(450,1970)"/>
   2029     <wire from="(200,1460)" to="(240,1460)"/>
   2030     <wire from="(360,1180)" to="(590,1180)"/>
   2031     <wire from="(220,1580)" to="(220,1680)"/>
   2032     <wire from="(720,1370)" to="(1060,1370)"/>
   2033     <wire from="(210,1700)" to="(210,1740)"/>
   2034     <wire from="(810,1140)" to="(810,1430)"/>
   2035     <wire from="(170,240)" to="(250,240)"/>
   2036     <wire from="(530,420)" to="(530,480)"/>
   2037     <wire from="(190,1800)" to="(200,1800)"/>
   2038     <wire from="(920,610)" to="(930,610)"/>
   2039     <wire from="(490,60)" to="(490,120)"/>
   2040     <wire from="(710,1680)" to="(720,1680)"/>
   2041     <wire from="(720,1370)" to="(720,1680)"/>
   2042     <wire from="(870,1610)" to="(920,1610)"/>
   2043     <wire from="(180,70)" to="(180,140)"/>
   2044     <wire from="(1030,380)" to="(1030,1350)"/>
   2045     <wire from="(850,870)" to="(850,880)"/>
   2046     <wire from="(200,300)" to="(250,300)"/>
   2047     <wire from="(1290,670)" to="(1290,700)"/>
   2048     <wire from="(800,1460)" to="(800,1490)"/>
   2049     <wire from="(790,1420)" to="(1020,1420)"/>
   2050     <wire from="(190,400)" to="(190,490)"/>
   2051     <wire from="(230,440)" to="(230,530)"/>
   2052     <wire from="(210,100)" to="(210,190)"/>
   2053     <wire from="(830,1490)" to="(830,1510)"/>
   2054     <wire from="(210,640)" to="(250,640)"/>
   2055     <wire from="(180,680)" to="(280,680)"/>
   2056     <wire from="(1280,780)" to="(1290,780)"/>
   2057     <wire from="(0,20)" to="(0,60)"/>
   2058     <wire from="(980,750)" to="(1240,750)"/>
   2059     <wire from="(200,1620)" to="(210,1620)"/>
   2060     <wire from="(920,1060)" to="(930,1060)"/>
   2061     <wire from="(880,700)" to="(890,700)"/>
   2062     <wire from="(680,1140)" to="(690,1140)"/>
   2063     <wire from="(750,1270)" to="(830,1270)"/>
   2064     <wire from="(560,60)" to="(570,60)"/>
   2065     <wire from="(630,1100)" to="(630,1110)"/>
   2066     <wire from="(630,1420)" to="(630,1430)"/>
   2067     <wire from="(550,380)" to="(550,390)"/>
   2068     <wire from="(890,1680)" to="(890,1690)"/>
   2069     <wire from="(220,1080)" to="(280,1080)"/>
   2070     <wire from="(1100,1660)" to="(1140,1660)"/>
   2071     <wire from="(1280,560)" to="(1320,560)"/>
   2072     <wire from="(220,450)" to="(270,450)"/>
   2073     <wire from="(980,20)" to="(980,750)"/>
   2074     <wire from="(170,510)" to="(170,600)"/>
   2075     <wire from="(210,550)" to="(210,640)"/>
   2076     <wire from="(190,850)" to="(190,940)"/>
   2077     <wire from="(230,890)" to="(230,980)"/>
   2078     <wire from="(510,110)" to="(550,110)"/>
   2079     <wire from="(1020,1420)" to="(1020,1670)"/>
   2080     <wire from="(520,150)" to="(530,150)"/>
   2081     <wire from="(330,1370)" to="(470,1370)"/>
   2082     <wire from="(530,1320)" to="(530,1380)"/>
   2083     <wire from="(560,510)" to="(570,510)"/>
   2084     <wire from="(1390,710)" to="(1390,730)"/>
   2085     <wire from="(630,650)" to="(630,790)"/>
   2086     <wire from="(190,220)" to="(250,220)"/>
   2087     <wire from="(1100,1790)" to="(1140,1790)"/>
   2088     <wire from="(1190,920)" to="(1230,920)"/>
   2089     <wire from="(80,1780)" to="(200,1780)"/>
   2090     <wire from="(470,110)" to="(470,120)"/>
   2091     <wire from="(200,1200)" to="(250,1200)"/>
   2092     <wire from="(510,470)" to="(510,480)"/>
   2093     <wire from="(220,900)" to="(270,900)"/>
   2094     <wire from="(830,560)" to="(870,560)"/>
   2095     <wire from="(870,920)" to="(910,920)"/>
   2096     <wire from="(170,960)" to="(170,1050)"/>
   2097     <wire from="(210,1000)" to="(210,1090)"/>
   2098     <wire from="(860,820)" to="(860,840)"/>
   2099     <wire from="(870,830)" to="(870,850)"/>
   2100     <wire from="(190,1300)" to="(190,1390)"/>
   2101     <wire from="(240,70)" to="(240,160)"/>
   2102     <wire from="(240,610)" to="(280,610)"/>
   2103     <wire from="(1160,1760)" to="(1160,1810)"/>
   2104     <wire from="(1170,610)" to="(1180,610)"/>
   2105     <wire from="(180,950)" to="(270,950)"/>
   2106     <wire from="(100,1900)" to="(250,1900)"/>
   2107     <wire from="(90,1890)" to="(240,1890)"/>
   2108     <wire from="(920,1060)" to="(920,1500)"/>
   2109     <wire from="(290,1610)" to="(870,1610)"/>
   2110     <wire from="(920,880)" to="(920,930)"/>
   2111     <wire from="(880,520)" to="(880,570)"/>
   2112     <wire from="(600,1320)" to="(610,1320)"/>
   2113     <wire from="(1090,860)" to="(1090,880)"/>
   2114     <wire from="(1130,580)" to="(1130,600)"/>
   2115     <wire from="(190,670)" to="(250,670)"/>
   2116     <wire from="(1040,1860)" to="(1080,1860)"/>
   2117     <wire from="(920,1840)" to="(920,1910)"/>
   2118     <wire from="(1100,840)" to="(1330,840)"/>
   2119     <wire from="(1170,620)" to="(1170,650)"/>
   2120     <wire from="(170,1050)" to="(280,1050)"/>
   2121     <wire from="(270,1670)" to="(570,1670)"/>
   2122     <wire from="(830,1010)" to="(870,1010)"/>
   2123     <wire from="(180,140)" to="(180,230)"/>
   2124     <wire from="(830,920)" to="(830,940)"/>
   2125     <wire from="(240,520)" to="(240,610)"/>
   2126     <wire from="(200,480)" to="(200,570)"/>
   2127     <wire from="(220,180)" to="(220,270)"/>
   2128     <wire from="(240,1060)" to="(280,1060)"/>
   2129     <wire from="(260,1720)" to="(300,1720)"/>
   2130     <wire from="(430,290)" to="(470,290)"/>
   2131     <wire from="(250,1810)" to="(250,1900)"/>
   2132     <wire from="(930,1530)" to="(930,1640)"/>
   2133     <wire from="(220,90)" to="(250,90)"/>
   2134     <wire from="(240,430)" to="(270,430)"/>
   2135     <wire from="(720,1700)" to="(720,1930)"/>
   2136     <wire from="(440,330)" to="(450,330)"/>
   2137     <wire from="(180,140)" to="(250,140)"/>
   2138     <wire from="(1290,740)" to="(1290,780)"/>
   2139     <wire from="(1110,1630)" to="(1130,1630)"/>
   2140     <wire from="(1070,710)" to="(1070,820)"/>
   2141     <wire from="(880,970)" to="(880,1020)"/>
   2142     <wire from="(840,610)" to="(840,660)"/>
   2143     <wire from="(620,1480)" to="(620,1490)"/>
   2144     <wire from="(510,1370)" to="(510,1380)"/>
   2145     <wire from="(210,820)" to="(270,820)"/>
   2146     <wire from="(190,1120)" to="(250,1120)"/>
   2147     <wire from="(230,530)" to="(280,530)"/>
   2148     <wire from="(370,330)" to="(370,480)"/>
   2149     <wire from="(200,1700)" to="(200,1720)"/>
   2150     <wire from="(180,590)" to="(180,680)"/>
   2151     <wire from="(220,630)" to="(220,720)"/>
   2152     <wire from="(200,930)" to="(200,1020)"/>
   2153     <wire from="(940,1480)" to="(940,1500)"/>
   2154     <wire from="(930,1790)" to="(930,1810)"/>
   2155     <wire from="(240,970)" to="(240,1060)"/>
   2156     <wire from="(390,380)" to="(430,380)"/>
   2157     <wire from="(220,540)" to="(250,540)"/>
   2158     <wire from="(1160,1700)" to="(1160,1760)"/>
   2159     <wire from="(400,420)" to="(410,420)"/>
   2160     <wire from="(1030,1350)" to="(1060,1350)"/>
   2161     <wire from="(360,60)" to="(370,60)"/>
   2162     <wire from="(1000,870)" to="(1000,1890)"/>
   2163     <wire from="(1010,880)" to="(1010,1900)"/>
   2164     <wire from="(330,740)" to="(730,740)"/>
   2165     <wire from="(640,600)" to="(640,790)"/>
   2166     <wire from="(140,1910)" to="(920,1910)"/>
   2167     <wire from="(200,930)" to="(270,930)"/>
   2168     <wire from="(580,1940)" to="(660,1940)"/>
   2169     <wire from="(800,1140)" to="(810,1140)"/>
   2170     <wire from="(680,60)" to="(690,60)"/>
   2171     <wire from="(930,960)" to="(930,970)"/>
   2172     <wire from="(1110,200)" to="(1110,1630)"/>
   2173     <wire from="(890,600)" to="(890,610)"/>
   2174     <wire from="(310,1420)" to="(310,1690)"/>
   2175     <wire from="(230,980)" to="(280,980)"/>
   2176     <wire from="(170,70)" to="(170,150)"/>
   2177     <wire from="(200,1380)" to="(200,1460)"/>
   2178     <wire from="(770,920)" to="(770,1200)"/>
   2179     <wire from="(870,670)" to="(910,670)"/>
   2180     <wire from="(340,1260)" to="(340,1470)"/>
   2181     <wire from="(220,1080)" to="(220,1170)"/>
   2182     <wire from="(180,1040)" to="(180,1130)"/>
   2183     <wire from="(290,1650)" to="(900,1650)"/>
   2184     <wire from="(1220,610)" to="(1220,660)"/>
   2185     <wire from="(1070,520)" to="(1340,520)"/>
   2186     <wire from="(190,1030)" to="(280,1030)"/>
   2187     <wire from="(170,1410)" to="(170,1640)"/>
   2188     <wire from="(330,470)" to="(350,470)"/>
   2189     <wire from="(370,1790)" to="(390,1790)"/>
   2190     <wire from="(1070,520)" to="(1070,710)"/>
   2191     <wire from="(360,510)" to="(370,510)"/>
   2192     <wire from="(610,960)" to="(610,1020)"/>
   2193     <wire from="(920,1910)" to="(1120,1910)"/>
   2194     <wire from="(760,1230)" to="(770,1230)"/>
   2195     <wire from="(280,1470)" to="(340,1470)"/>
   2196     <wire from="(790,1090)" to="(910,1090)"/>
   2197     <wire from="(890,1050)" to="(890,1060)"/>
   2198     <wire from="(850,690)" to="(850,700)"/>
   2199     <wire from="(230,70)" to="(230,80)"/>
   2200     <wire from="(200,120)" to="(250,120)"/>
   2201     <wire from="(1000,720)" to="(1190,720)"/>
   2202     <wire from="(1010,730)" to="(1200,730)"/>
   2203     <wire from="(190,220)" to="(190,310)"/>
   2204     <wire from="(230,260)" to="(230,350)"/>
   2205     <wire from="(730,1720)" to="(730,1800)"/>
   2206     <wire from="(570,150)" to="(570,300)"/>
   2207     <wire from="(1330,650)" to="(1340,650)"/>
   2208     <wire from="(860,1900)" to="(1010,1900)"/>
   2209     <wire from="(1170,810)" to="(1180,810)"/>
   2210     <wire from="(850,1890)" to="(1000,1890)"/>
   2211     <wire from="(1160,1640)" to="(1160,1700)"/>
   2212     <wire from="(0,20)" to="(80,20)"/>
   2213     <wire from="(370,1930)" to="(380,1930)"/>
   2214     <wire from="(170,510)" to="(250,510)"/>
   2215     <wire from="(190,850)" to="(270,850)"/>
   2216     <wire from="(300,1860)" to="(310,1860)"/>
   2217     <wire from="(920,880)" to="(930,880)"/>
   2218     <wire from="(330,560)" to="(590,560)"/>
   2219     <wire from="(490,330)" to="(490,390)"/>
   2220     <wire from="(590,560)" to="(590,570)"/>
   2221     <wire from="(750,1180)" to="(870,1180)"/>
   2222     <wire from="(1100,1800)" to="(1140,1800)"/>
   2223     <wire from="(790,1990)" to="(1040,1990)"/>
   2224     <wire from="(200,570)" to="(250,570)"/>
   2225     <wire from="(1190,720)" to="(1380,720)"/>
   2226     <wire from="(1200,730)" to="(1390,730)"/>
   2227     <wire from="(330,650)" to="(630,650)"/>
   2228     <wire from="(830,1410)" to="(1060,1410)"/>
   2229     <wire from="(170,330)" to="(170,420)"/>
   2230     <wire from="(210,370)" to="(210,460)"/>
   2231     <wire from="(230,710)" to="(230,800)"/>
   2232     <wire from="(190,670)" to="(190,760)"/>
   2233     <wire from="(900,550)" to="(900,570)"/>
   2234     <wire from="(910,560)" to="(910,580)"/>
   2235     <wire from="(940,910)" to="(940,930)"/>
   2236     <wire from="(1380,710)" to="(1380,720)"/>
   2237     <wire from="(1170,620)" to="(1180,620)"/>
   2238     <wire from="(710,1740)" to="(740,1740)"/>
   2239     <wire from="(150,1540)" to="(1130,1540)"/>
   2240     <wire from="(430,1800)" to="(440,1800)"/>
   2241     <wire from="(1100,1810)" to="(1130,1810)"/>
   2242     <wire from="(530,1140)" to="(530,1200)"/>
   2243     <wire from="(330,1010)" to="(590,1010)"/>
   2244     <wire from="(880,970)" to="(890,970)"/>
   2245     <wire from="(840,610)" to="(850,610)"/>
   2246     <wire from="(560,330)" to="(570,330)"/>
   2247     <wire from="(1220,660)" to="(1240,660)"/>
   2248     <wire from="(230,1800)" to="(230,1870)"/>
   2249     <wire from="(590,1010)" to="(590,1020)"/>
   2250     <wire from="(190,1840)" to="(440,1840)"/>
   2251     <wire from="(330,1280)" to="(510,1280)"/>
   2252     <wire from="(510,290)" to="(510,300)"/>
   2253     <wire from="(220,720)" to="(270,720)"/>
   2254     <wire from="(590,1420)" to="(630,1420)"/>
   2255     <wire from="(240,1810)" to="(240,1890)"/>
   2256     <wire from="(170,780)" to="(170,870)"/>
   2257     <wire from="(190,1120)" to="(190,1210)"/>
   2258     <wire from="(210,820)" to="(210,910)"/>
   2259     <wire from="(910,1010)" to="(910,1030)"/>
   2260     <wire from="(900,1000)" to="(900,1020)"/>
   2261     <wire from="(1080,860)" to="(1080,870)"/>
   2262     <wire from="(210,1360)" to="(250,1360)"/>
   2263     <wire from="(230,1160)" to="(230,1250)"/>
   2264     <wire from="(1030,1850)" to="(1080,1850)"/>
   2265     <wire from="(510,380)" to="(550,380)"/>
   2266     <wire from="(180,1400)" to="(280,1400)"/>
   2267     <wire from="(1120,1860)" to="(1120,1910)"/>
   2268     <wire from="(180,770)" to="(270,770)"/>
   2269     <wire from="(660,1780)" to="(660,1940)"/>
   2270     <wire from="(1130,910)" to="(1130,970)"/>
   2271     <wire from="(310,1420)" to="(590,1420)"/>
   2272     <wire from="(480,60)" to="(490,60)"/>
   2273     <wire from="(250,1900)" to="(700,1900)"/>
   2274     <wire from="(240,1890)" to="(690,1890)"/>
   2275     <wire from="(840,1060)" to="(850,1060)"/>
   2276     <wire from="(520,420)" to="(530,420)"/>
   2277     <wire from="(190,490)" to="(250,490)"/>
   2278     <wire from="(210,190)" to="(270,190)"/>
   2279     <wire from="(1100,1740)" to="(1140,1740)"/>
   2280     <wire from="(470,380)" to="(470,390)"/>
   2281     <wire from="(220,1170)" to="(270,1170)"/>
   2282     <wire from="(170,870)" to="(280,870)"/>
   2283     <wire from="(830,830)" to="(870,830)"/>
   2284     <wire from="(1170,830)" to="(1230,830)"/>
   2285     <wire from="(170,1230)" to="(170,1320)"/>
   2286     <wire from="(200,300)" to="(200,390)"/>
   2287     <wire from="(240,340)" to="(240,430)"/>
   2288     <wire from="(210,1270)" to="(210,1360)"/>
   2289     <wire from="(240,880)" to="(280,880)"/>
   2290     <wire from="(650,780)" to="(820,780)"/>
   2291     <wire from="(870,1610)" to="(870,1690)"/>
   2292     <wire from="(470,470)" to="(510,470)"/>
   2293     <wire from="(340,1080)" to="(340,1170)"/>
   2294     <wire from="(1170,1840)" to="(1180,1840)"/>
   2295     <wire from="(180,1220)" to="(270,1220)"/>
   2296     <wire from="(1250,960)" to="(1260,960)"/>
   2297     <wire from="(1280,670)" to="(1290,670)"/>
   2298     <wire from="(510,1370)" to="(720,1370)"/>
   2299     <wire from="(550,380)" to="(1030,380)"/>
   2300     <wire from="(1160,1810)" to="(1190,1810)"/>
   2301     <wire from="(800,710)" to="(800,770)"/>
   2302     <wire from="(480,510)" to="(490,510)"/>
   2303     <wire from="(1020,1670)" to="(1050,1670)"/>
   2304     <wire from="(480,1410)" to="(480,1590)"/>
   2305     <wire from="(1100,1750)" to="(1130,1750)"/>
   2306     <wire from="(100,70)" to="(100,250)"/>
   2307     <wire from="(830,1570)" to="(830,1690)"/>
   2308     <wire from="(210,1270)" to="(280,1270)"/>
   2309     <wire from="(1180,770)" to="(1180,810)"/>
   2310     <wire from="(710,1700)" to="(720,1700)"/>
   2311     <wire from="(570,510)" to="(570,1670)"/>
   2312     <wire from="(510,1190)" to="(510,1200)"/>
   2313     <wire from="(920,700)" to="(920,840)"/>
   2314     <wire from="(700,1760)" to="(700,1900)"/>
   2315     <wire from="(230,350)" to="(280,350)"/>
   2316     <wire from="(170,1320)" to="(280,1320)"/>
   2317     <wire from="(180,410)" to="(180,500)"/>
   2318     <wire from="(220,450)" to="(220,540)"/>
   2319     <wire from="(200,750)" to="(200,840)"/>
   2320     <wire from="(1130,1810)" to="(1130,1820)"/>
   2321     <wire from="(240,1330)" to="(280,1330)"/>
   2322     <wire from="(590,1270)" to="(590,1290)"/>
   2323     <wire from="(240,790)" to="(240,880)"/>
   2324     <wire from="(170,690)" to="(270,690)"/>
   2325     <wire from="(730,740)" to="(730,1720)"/>
   2326     <wire from="(220,360)" to="(250,360)"/>
   2327     <wire from="(210,1700)" to="(300,1700)"/>
   2328     <wire from="(590,1180)" to="(750,1180)"/>
   2329     <wire from="(840,1060)" to="(840,1500)"/>
   2330     <wire from="(610,60)" to="(610,570)"/>
   2331     <wire from="(180,410)" to="(250,410)"/>
   2332     <wire from="(880,1680)" to="(890,1680)"/>
   2333     <wire from="(200,750)" to="(270,750)"/>
   2334     <wire from="(520,1320)" to="(530,1320)"/>
   2335     <wire from="(790,830)" to="(790,880)"/>
   2336     <wire from="(840,880)" to="(840,930)"/>
   2337     <wire from="(810,910)" to="(810,1110)"/>
   2338     <wire from="(370,510)" to="(370,1470)"/>
   2339     <wire from="(210,1090)" to="(270,1090)"/>
   2340     <wire from="(190,1390)" to="(250,1390)"/>
   2341     <wire from="(250,100)" to="(250,110)"/>
   2342     <wire from="(1100,1680)" to="(1140,1680)"/>
   2343     <wire from="(260,1790)" to="(310,1790)"/>
   2344     <wire from="(230,800)" to="(280,800)"/>
   2345     <wire from="(690,60)" to="(690,1110)"/>
   2346     <wire from="(410,420)" to="(410,1460)"/>
   2347     <wire from="(220,70)" to="(220,90)"/>
   2348     <wire from="(200,1200)" to="(200,1290)"/>
   2349     <wire from="(180,860)" to="(180,950)"/>
   2350     <wire from="(220,900)" to="(220,990)"/>
   2351     <wire from="(230,170)" to="(270,170)"/>
   2352     <wire from="(1160,690)" to="(1160,700)"/>
   2353     <wire from="(240,1240)" to="(240,1330)"/>
   2354     <wire from="(470,1370)" to="(510,1370)"/>
   2355     <wire from="(1330,660)" to="(1340,660)"/>
   2356     <wire from="(330,290)" to="(350,290)"/>
   2357     <wire from="(330,110)" to="(470,110)"/>
   2358     <wire from="(660,1660)" to="(660,1780)"/>
   2359     <wire from="(360,330)" to="(370,330)"/>
   2360     <wire from="(330,1260)" to="(340,1260)"/>
   2361     <wire from="(1100,1690)" to="(1130,1690)"/>
   2362     <wire from="(530,60)" to="(530,120)"/>
   2363     <wire from="(570,420)" to="(570,480)"/>
   2364     <wire from="(800,710)" to="(1070,710)"/>
   2365     <wire from="(330,380)" to="(390,380)"/>
   2366     <wire from="(890,870)" to="(890,880)"/>
   2367     <wire from="(780,1790)" to="(840,1790)"/>
   2368     <wire from="(230,1250)" to="(280,1250)"/>
   2369     <wire from="(410,60)" to="(410,390)"/>
   2370     <wire from="(350,470)" to="(470,470)"/>
   2371     <wire from="(790,1090)" to="(790,1110)"/>
   2372     <wire from="(180,1310)" to="(180,1400)"/>
   2373     <wire from="(230,80)" to="(230,170)"/>
   2374     <wire from="(860,1480)" to="(860,1500)"/>
   2375     <wire from="(870,1490)" to="(870,1510)"/>
   2376     <wire from="(1000,720)" to="(1000,870)"/>
   2377     <wire from="(1010,730)" to="(1010,880)"/>
   2378     <wire from="(210,280)" to="(250,280)"/>
   2379     <wire from="(360,1270)" to="(590,1270)"/>
   2380     <wire from="(1280,740)" to="(1290,740)"/>
   2381     <wire from="(1120,1860)" to="(1130,1860)"/>
   2382     <wire from="(1130,1750)" to="(1130,1810)"/>
   2383     <wire from="(850,1530)" to="(850,1690)"/>
   2384     <wire from="(170,330)" to="(250,330)"/>
   2385     <wire from="(610,1230)" to="(610,1290)"/>
   2386     <wire from="(920,700)" to="(930,700)"/>
   2387     <wire from="(430,1950)" to="(560,1950)"/>
   2388     <wire from="(600,60)" to="(610,60)"/>
   2389     <wire from="(670,1100)" to="(670,1110)"/>
   2390     <wire from="(930,1680)" to="(930,1690)"/>
   2391     <wire from="(850,960)" to="(850,970)"/>
   2392     <wire from="(200,390)" to="(250,390)"/>
   2393     <wire from="(1090,1360)" to="(1190,1360)"/>
   2394     <wire from="(90,70)" to="(90,150)"/>
   2395     <wire from="(1020,1840)" to="(1080,1840)"/>
   2396     <wire from="(1210,1830)" to="(1210,1900)"/>
   2397     <wire from="(170,150)" to="(170,240)"/>
   2398     <wire from="(230,530)" to="(230,620)"/>
   2399     <wire from="(190,490)" to="(190,580)"/>
   2400     <wire from="(210,190)" to="(210,280)"/>
   2401     <wire from="(560,1950)" to="(560,1970)"/>
   2402     <wire from="(750,1180)" to="(750,1200)"/>
   2403     <wire from="(490,510)" to="(490,1380)"/>
   2404     <wire from="(1180,1410)" to="(1190,1410)"/>
   2405     <wire from="(630,650)" to="(790,650)"/>
   2406     <wire from="(1120,1410)" to="(1120,1830)"/>
   2407     <wire from="(880,1630)" to="(880,1680)"/>
   2408     <wire from="(1330,800)" to="(1330,840)"/>
   2409     <wire from="(560,150)" to="(570,150)"/>
   2410     <wire from="(550,470)" to="(550,480)"/>
   2411     <wire from="(330,1100)" to="(510,1100)"/>
   2412     <wire from="(120,40)" to="(120,50)"/>
   2413     <wire from="(510,110)" to="(510,120)"/>
   2414     <wire from="(430,1950)" to="(430,1960)"/>
   2415     <wire from="(200,1460)" to="(200,1600)"/>
   2416     <wire from="(490,150)" to="(490,300)"/>
   2417     <wire from="(220,1350)" to="(220,1560)"/>
   2418     <wire from="(870,560)" to="(910,560)"/>
   2419     <wire from="(1090,1400)" to="(1150,1400)"/>
   2420     <wire from="(1330,670)" to="(1330,800)"/>
   2421     <wire from="(170,600)" to="(170,690)"/>
   2422     <wire from="(110,20)" to="(980,20)"/>
   2423     <wire from="(210,640)" to="(210,730)"/>
   2424     <wire from="(190,940)" to="(190,1030)"/>
   2425     <wire from="(230,980)" to="(230,1070)"/>
   2426     <wire from="(910,830)" to="(910,850)"/>
   2427     <wire from="(900,820)" to="(900,840)"/>
   2428     <wire from="(910,1790)" to="(910,1810)"/>
   2429     <wire from="(240,250)" to="(280,250)"/>
   2430     <wire from="(1380,660)" to="(1380,670)"/>
   2431     <wire from="(1210,610)" to="(1220,610)"/>
   2432     <wire from="(910,1390)" to="(1060,1390)"/>
   2433     <wire from="(180,590)" to="(270,590)"/>
   2434     <wire from="(730,1720)" to="(750,1720)"/>
   2435     <wire from="(630,1420)" to="(790,1420)"/>
   2436     <wire from="(1130,970)" to="(1130,1540)"/>
   2437     <wire from="(1130,1690)" to="(1130,1750)"/>
   2438     <wire from="(1160,700)" to="(1290,700)"/>
   2439     <wire from="(330,830)" to="(790,830)"/>
   2440     <wire from="(330,200)" to="(1110,200)"/>
   2441     <wire from="(840,880)" to="(850,880)"/>
   2442     <wire from="(920,520)" to="(920,570)"/>
   2443     <wire from="(1220,610)" to="(1240,610)"/>
   2444     <wire from="(600,960)" to="(610,960)"/>
   2445     <wire from="(190,310)" to="(250,310)"/>
   2446     <wire from="(1230,830)" to="(1230,920)"/>
   2447     <wire from="(690,1760)" to="(690,1890)"/>
   2448     <wire from="(200,1290)" to="(250,1290)"/>
   2449     <wire from="(220,990)" to="(270,990)"/>
   2450     <wire from="(210,1620)" to="(260,1620)"/>
   2451     <wire from="(90,150)" to="(90,1890)"/>
   2452     <wire from="(160,1550)" to="(160,1690)"/>
   2453     <wire from="(1410,690)" to="(1410,760)"/>
   2454     <wire from="(870,1010)" to="(910,1010)"/>
   2455     <wire from="(830,560)" to="(830,580)"/>
   2456     <wire from="(870,920)" to="(870,940)"/>
   2457     <wire from="(170,1050)" to="(170,1140)"/>
   2458     <wire from="(210,1090)" to="(210,1180)"/>
   2459     <wire from="(240,160)" to="(240,250)"/>
   2460     <wire from="(860,910)" to="(860,930)"/>
   2461     <wire from="(190,1390)" to="(190,1480)"/>
   2462     <wire from="(240,700)" to="(280,700)"/>
   2463     <wire from="(470,290)" to="(510,290)"/>
   2464     <wire from="(240,70)" to="(270,70)"/>
   2465     <wire from="(150,1540)" to="(150,1700)"/>
   2466     <wire from="(180,1040)" to="(270,1040)"/>
   2467     <wire from="(920,1610)" to="(920,1650)"/>
   2468     <wire from="(650,1140)" to="(650,1430)"/>
   2469     <wire from="(650,820)" to="(650,1110)"/>
   2470     <wire from="(270,1590)" to="(480,1590)"/>
   2471     <wire from="(480,330)" to="(490,330)"/>
   2472     <wire from="(140,70)" to="(140,1910)"/>
   2473     <wire from="(790,1730)" to="(790,1980)"/>
   2474     <wire from="(1290,700)" to="(1290,740)"/>
   2475     <wire from="(920,970)" to="(920,1020)"/>
   2476     <wire from="(1130,970)" to="(1150,970)"/>
   2477     <wire from="(880,610)" to="(880,660)"/>
   2478     <wire from="(790,650)" to="(790,660)"/>
   2479     <wire from="(210,460)" to="(270,460)"/>
   2480     <wire from="(430,290)" to="(430,300)"/>
   2481     <wire from="(260,1720)" to="(260,1730)"/>
   2482     <wire from="(170,1140)" to="(280,1140)"/>
   2483     <wire from="(180,230)" to="(180,320)"/>
   2484     <wire from="(830,1010)" to="(830,1030)"/>
   2485     <wire from="(200,570)" to="(200,660)"/>
   2486     <wire from="(240,610)" to="(240,700)"/>
   2487     <wire from="(220,270)" to="(220,360)"/>
   2488     <wire from="(240,1150)" to="(280,1150)"/>
   2489     <wire from="(210,70)" to="(210,100)"/>
   2490     <wire from="(430,380)" to="(470,380)"/>
   2491     <wire from="(1280,620)" to="(1290,620)"/>
   2492     <wire from="(760,1320)" to="(760,1490)"/>
   2493     <wire from="(300,1880)" to="(970,1880)"/>
   2494     <wire from="(1130,1630)" to="(1130,1690)"/>
   2495     <wire from="(400,60)" to="(410,60)"/>
   2496     <wire from="(440,420)" to="(450,420)"/>
   2497     <wire from="(1130,580)" to="(1290,580)"/>
   2498     <wire from="(200,120)" to="(200,300)"/>
   2499     <wire from="(180,230)" to="(250,230)"/>
   2500     <wire from="(520,1140)" to="(530,1140)"/>
   2501     <wire from="(930,600)" to="(930,610)"/>
   2502     <wire from="(790,1420)" to="(790,1430)"/>
   2503     <wire from="(190,1210)" to="(250,1210)"/>
   2504     <wire from="(210,910)" to="(270,910)"/>
   2505     <wire from="(390,380)" to="(390,390)"/>
   2506     <wire from="(230,620)" to="(280,620)"/>
   2507     <wire from="(1040,1730)" to="(1040,1860)"/>
   2508     <wire from="(180,680)" to="(180,770)"/>
   2509     <wire from="(220,720)" to="(220,810)"/>
   2510     <wire from="(200,1020)" to="(200,1110)"/>
   2511     <wire from="(160,1550)" to="(1160,1550)"/>
   2512     <wire from="(220,1580)" to="(260,1580)"/>
   2513     <wire from="(240,1060)" to="(240,1150)"/>
   2514     <wire from="(220,630)" to="(250,630)"/>
   2515     <wire from="(410,1460)" to="(440,1460)"/>
   2516     <wire from="(1130,600)" to="(1140,600)"/>
   2517     <wire from="(330,1710)" to="(350,1710)"/>
   2518     <wire from="(660,1780)" to="(750,1780)"/>
   2519     <wire from="(1200,1830)" to="(1200,1890)"/>
   2520     <wire from="(330,1080)" to="(340,1080)"/>
   2521     <wire from="(880,1630)" to="(890,1630)"/>
   2522     <wire from="(930,1680)" to="(940,1680)"/>
   2523     <wire from="(800,910)" to="(810,910)"/>
   2524     <wire from="(670,1740)" to="(680,1740)"/>
   2525     <wire from="(1110,1850)" to="(1130,1850)"/>
   2526     <wire from="(1130,910)" to="(1150,910)"/>
   2527     <wire from="(1190,970)" to="(1210,970)"/>
   2528     <wire from="(840,700)" to="(840,840)"/>
   2529     <wire from="(930,1050)" to="(930,1060)"/>
   2530     <wire from="(590,920)" to="(770,920)"/>
   2531     <wire from="(1150,630)" to="(1150,650)"/>
   2532     <wire from="(890,690)" to="(890,700)"/>
   2533     <wire from="(250,370)" to="(250,380)"/>
   2534     <wire from="(230,1070)" to="(280,1070)"/>
   2535     <wire from="(50,250)" to="(100,250)"/>
   2536     <wire from="(350,470)" to="(350,480)"/>
   2537     <wire from="(1040,1860)" to="(1040,1990)"/>
   2538     <wire from="(860,1810)" to="(860,1900)"/>
   2539     <wire from="(220,1170)" to="(220,1260)"/>
   2540     <wire from="(180,1130)" to="(180,1220)"/>
   2541     <wire from="(210,100)" to="(250,100)"/>
   2542     <wire from="(1170,770)" to="(1180,770)"/>
   2543     <wire from="(600,1460)" to="(630,1460)"/>
   2544     <wire from="(1030,1790)" to="(1030,1850)"/>
   2545     <wire from="(170,150)" to="(250,150)"/>
   2546     <wire from="(1110,1650)" to="(1140,1650)"/>
   2547     <wire from="(530,330)" to="(530,390)"/>
   2548     <wire from="(120,40)" to="(130,40)"/>
   2549     <wire from="(630,560)" to="(630,570)"/>
   2550     <wire from="(1330,620)" to="(1330,650)"/>
   2551     <wire from="(190,1480)" to="(240,1480)"/>
   2552     <wire from="(360,1090)" to="(790,1090)"/>
   2553     <wire from="(830,1490)" to="(870,1490)"/>
   2554     <wire from="(820,780)" to="(1120,780)"/>
   2555     <wire from="(190,310)" to="(190,400)"/>
   2556     <wire from="(230,350)" to="(230,440)"/>
   2557     <wire from="(940,550)" to="(940,570)"/>
   2558     <wire from="(210,550)" to="(250,550)"/>
   2559     <wire from="(980,750)" to="(980,1790)"/>
   2560     <wire from="(1040,1730)" to="(1050,1730)"/>
   2561     <wire from="(1130,1820)" to="(1140,1820)"/>
   2562     <wire from="(1010,1900)" to="(1210,1900)"/>
   2563     <wire from="(1000,1890)" to="(1200,1890)"/>
   2564     <wire from="(630,560)" to="(830,560)"/>
   2565     <wire from="(920,970)" to="(930,970)"/>
   2566     <wire from="(490,420)" to="(490,480)"/>
   2567     <wire from="(880,610)" to="(890,610)"/>
   2568     <wire from="(1290,580)" to="(1290,620)"/>
   2569     <wire from="(830,1270)" to="(830,1410)"/>
   2570     <wire from="(550,290)" to="(550,300)"/>
   2571     <wire from="(200,660)" to="(250,660)"/>
   2572     <wire from="(440,1460)" to="(440,1470)"/>
   2573     <wire from="(870,1180)" to="(870,1400)"/>
   2574     <wire from="(630,1100)" to="(670,1100)"/>
   2575     <wire from="(170,420)" to="(170,510)"/>
   2576     <wire from="(190,760)" to="(190,850)"/>
   2577     <wire from="(230,800)" to="(230,890)"/>
   2578     <wire from="(210,460)" to="(210,550)"/>
   2579     <wire from="(940,1000)" to="(940,1020)"/>
   2580     <wire from="(610,1050)" to="(610,1200)"/>
   2581     <wire from="(910,1090)" to="(910,1390)"/>
   2582     <wire from="(940,1640)" to="(940,1680)"/>
   2583     <wire from="(520,60)" to="(530,60)"/>
   2584     <wire from="(530,1230)" to="(530,1290)"/>
   2585     <wire from="(310,1850)" to="(1030,1850)"/>
   2586     <wire from="(670,1690)" to="(670,1740)"/>
   2587     <wire from="(880,1060)" to="(890,1060)"/>
   2588     <wire from="(840,700)" to="(850,700)"/>
   2589     <wire from="(640,1140)" to="(650,1140)"/>
   2590     <wire from="(640,820)" to="(650,820)"/>
   2591     <wire from="(560,420)" to="(570,420)"/>
   2592     <wire from="(590,1420)" to="(590,1430)"/>
   2593     <wire from="(190,130)" to="(250,130)"/>
   2594     <wire from="(190,1660)" to="(190,1670)"/>
   2595     <wire from="(220,810)" to="(270,810)"/>
   2596     <wire from="(200,1110)" to="(250,1110)"/>
   2597     <wire from="(510,380)" to="(510,390)"/>
   2598     <wire from="(150,1700)" to="(200,1700)"/>
   2599     <wire from="(530,510)" to="(530,1110)"/>
   2600     <wire from="(870,830)" to="(910,830)"/>
   2601     <wire from="(870,1790)" to="(910,1790)"/>
   2602     <wire from="(170,870)" to="(170,960)"/>
   2603     <wire from="(210,910)" to="(210,1000)"/>
   2604     <wire from="(190,1210)" to="(190,1300)"/>
   2605     <wire from="(240,520)" to="(280,520)"/>
   2606     <wire from="(230,1250)" to="(230,1340)"/>
   2607     <wire from="(470,110)" to="(510,110)"/>
   2608     <wire from="(510,470)" to="(550,470)"/>
   2609     <wire from="(180,860)" to="(270,860)"/>
   2610     <wire from="(1120,780)" to="(1120,1410)"/>
   2611     <wire from="(200,70)" to="(200,120)"/>
   2612     <wire from="(480,150)" to="(490,150)"/>
   2613     <wire from="(670,1190)" to="(1190,1190)"/>
   2614     <wire from="(1110,1720)" to="(1140,1720)"/>
   2615     <wire from="(1120,1410)" to="(1150,1410)"/>
   2616     <wire from="(780,1730)" to="(790,1730)"/>
   2617     <wire from="(510,1280)" to="(970,1280)"/>
   2618     <wire from="(1120,780)" to="(1140,780)"/>
   2619     <wire from="(520,510)" to="(530,510)"/>
   2620     <wire from="(600,1230)" to="(610,1230)"/>
   2621     <comp lib="0" loc="(900,820)" name="Constant">
   2622       <a name="facing" val="south"/>
   2623       <a name="width" val="2"/>
   2624       <a name="value" val="0x0"/>
   2625     </comp>
   2626     <comp lib="1" loc="(330,200)" name="AND Gate">
   2627       <a name="inputs" val="8"/>
   2628       <a name="label" val="SOP"/>
   2629       <a name="negate0" val="true"/>
   2630       <a name="negate1" val="true"/>
   2631       <a name="negate2" val="true"/>
   2632       <a name="negate3" val="true"/>
   2633     </comp>
   2634     <comp lib="0" loc="(860,1480)" name="Constant">
   2635       <a name="facing" val="south"/>
   2636       <a name="width" val="2"/>
   2637     </comp>
   2638     <comp lib="0" loc="(600,60)" name="Constant">
   2639       <a name="facing" val="south"/>
   2640       <a name="value" val="0x0"/>
   2641     </comp>
   2642     <comp lib="1" loc="(1140,780)" name="OR Gate">
   2643       <a name="facing" val="west"/>
   2644       <a name="size" val="30"/>
   2645       <a name="inputs" val="2"/>
   2646     </comp>
   2647     <comp lib="2" loc="(330,1710)" name="Multiplexer">
   2648       <a name="selloc" val="tr"/>
   2649       <a name="width" val="8"/>
   2650       <a name="enable" val="false"/>
   2651     </comp>
   2652     <comp lib="1" loc="(640,820)" name="OR Gate">
   2653       <a name="facing" val="south"/>
   2654       <a name="size" val="30"/>
   2655       <a name="inputs" val="3"/>
   2656     </comp>
   2657     <comp lib="0" loc="(900,550)" name="Constant">
   2658       <a name="facing" val="south"/>
   2659       <a name="width" val="2"/>
   2660       <a name="value" val="0x0"/>
   2661     </comp>
   2662     <comp lib="0" loc="(900,1000)" name="Constant">
   2663       <a name="facing" val="south"/>
   2664       <a name="width" val="2"/>
   2665       <a name="value" val="0x0"/>
   2666     </comp>
   2667     <comp lib="1" loc="(1370,660)" name="AND Gate">
   2668       <a name="size" val="30"/>
   2669       <a name="inputs" val="3"/>
   2670       <a name="negate2" val="true"/>
   2671     </comp>
   2672     <comp lib="2" loc="(930,690)" name="Multiplexer">
   2673       <a name="facing" val="south"/>
   2674       <a name="width" val="2"/>
   2675       <a name="disabled" val="0"/>
   2676       <a name="enable" val="false"/>
   2677     </comp>
   2678     <comp lib="0" loc="(50,250)" name="Pin">
   2679       <a name="tristate" val="false"/>
   2680       <a name="label" val="RST"/>
   2681     </comp>
   2682     <comp lib="1" loc="(570,2000)" name="AND Gate">
   2683       <a name="facing" val="south"/>
   2684       <a name="size" val="30"/>
   2685       <a name="inputs" val="2"/>
   2686     </comp>
   2687     <comp lib="0" loc="(570,2000)" name="Pin">
   2688       <a name="facing" val="north"/>
   2689       <a name="output" val="true"/>
   2690       <a name="label" val="Memory Select"/>
   2691       <a name="labelloc" val="south"/>
   2692     </comp>
   2693     <comp lib="0" loc="(920,520)" name="Constant">
   2694       <a name="facing" val="south"/>
   2695       <a name="width" val="2"/>
   2696       <a name="value" val="0x0"/>
   2697     </comp>
   2698     <comp lib="1" loc="(1050,1730)" name="AND Gate">
   2699       <a name="facing" val="west"/>
   2700       <a name="label" val="go"/>
   2701       <a name="negate1" val="true"/>
   2702       <a name="negate2" val="true"/>
   2703     </comp>
   2704     <comp lib="1" loc="(330,1190)" name="AND Gate">
   2705       <a name="inputs" val="8"/>
   2706       <a name="label" val="SB"/>
   2707       <a name="negate2" val="true"/>
   2708       <a name="negate6" val="true"/>
   2709     </comp>
   2710     <comp lib="0" loc="(1210,950)" name="Constant">
   2711       <a name="width" val="5"/>
   2712       <a name="value" val="0x0"/>
   2713     </comp>
   2714     <comp lib="0" loc="(280,330)" name="Constant"/>
   2715     <comp lib="0" loc="(940,820)" name="Constant">
   2716       <a name="facing" val="south"/>
   2717       <a name="width" val="2"/>
   2718       <a name="value" val="0x0"/>
   2719     </comp>
   2720     <comp lib="0" loc="(280,1210)" name="Constant"/>
   2721     <comp lib="0" loc="(840,1720)" name="Pin">
   2722       <a name="facing" val="north"/>
   2723       <a name="output" val="true"/>
   2724       <a name="width" val="2"/>
   2725       <a name="label" val="A (R)"/>
   2726       <a name="labelloc" val="south"/>
   2727     </comp>
   2728     <comp lib="0" loc="(260,1730)" name="Pin">
   2729       <a name="facing" val="north"/>
   2730       <a name="width" val="8"/>
   2731       <a name="tristate" val="false"/>
   2732       <a name="label" val="PC ADDRESS"/>
   2733       <a name="labelloc" val="south"/>
   2734     </comp>
   2735     <comp lib="2" loc="(850,600)" name="Multiplexer">
   2736       <a name="facing" val="south"/>
   2737       <a name="width" val="2"/>
   2738       <a name="enable" val="false"/>
   2739     </comp>
   2740     <comp lib="1" loc="(600,1460)" name="OR Gate">
   2741       <a name="facing" val="south"/>
   2742       <a name="size" val="30"/>
   2743       <a name="inputs" val="2"/>
   2744     </comp>
   2745     <comp lib="1" loc="(680,1230)" name="OR Gate">
   2746       <a name="facing" val="south"/>
   2747       <a name="size" val="30"/>
   2748       <a name="inputs" val="2"/>
   2749     </comp>
   2750     <comp lib="2" loc="(890,1050)" name="Multiplexer">
   2751       <a name="facing" val="south"/>
   2752       <a name="width" val="2"/>
   2753       <a name="enable" val="false"/>
   2754     </comp>
   2755     <comp lib="3" loc="(1280,550)" name="Comparator">
   2756       <a name="width" val="5"/>
   2757     </comp>
   2758     <comp lib="2" loc="(290,1610)" name="Multiplexer">
   2759       <a name="width" val="2"/>
   2760       <a name="enable" val="false"/>
   2761     </comp>
   2762     <comp lib="1" loc="(270,1870)" name="OR Gate">
   2763       <a name="facing" val="west"/>
   2764       <a name="size" val="30"/>
   2765       <a name="inputs" val="2"/>
   2766     </comp>
   2767     <comp lib="3" loc="(430,1800)" name="Adder"/>
   2768     <comp lib="4" loc="(1100,840)" name="Register">
   2769       <a name="width" val="1"/>
   2770     </comp>
   2771     <comp lib="0" loc="(280,140)" name="Constant"/>
   2772     <comp lib="1" loc="(600,600)" name="OR Gate">
   2773       <a name="facing" val="south"/>
   2774       <a name="size" val="30"/>
   2775       <a name="inputs" val="2"/>
   2776     </comp>
   2777     <comp lib="0" loc="(130,60)" name="Constant">
   2778       <a name="width" val="8"/>
   2779       <a name="value" val="0x0"/>
   2780     </comp>
   2781     <comp lib="0" loc="(280,480)" name="Constant"/>
   2782     <comp lib="0" loc="(280,670)" name="Constant"/>
   2783     <comp lib="2" loc="(230,1790)" name="Multiplexer">
   2784       <a name="width" val="8"/>
   2785       <a name="enable" val="false"/>
   2786     </comp>
   2787     <comp lib="1" loc="(360,1090)" name="AND Gate">
   2788       <a name="size" val="30"/>
   2789       <a name="inputs" val="2"/>
   2790     </comp>
   2791     <comp lib="0" loc="(280,1300)" name="Constant"/>
   2792     <comp lib="0" loc="(280,150)" name="Constant"/>
   2793     <comp lib="0" loc="(160,50)" name="Splitter">
   2794       <a name="facing" val="south"/>
   2795       <a name="fanout" val="8"/>
   2796       <a name="incoming" val="8"/>
   2797     </comp>
   2798     <comp lib="0" loc="(280,280)" name="Constant"/>
   2799     <comp lib="1" loc="(400,420)" name="OR Gate">
   2800       <a name="facing" val="south"/>
   2801       <a name="size" val="30"/>
   2802       <a name="inputs" val="2"/>
   2803     </comp>
   2804     <comp lib="4" loc="(1220,1810)" name="Register">
   2805       <a name="width" val="4"/>
   2806       <a name="trigger" val="falling"/>
   2807     </comp>
   2808     <comp lib="0" loc="(280,500)" name="Constant"/>
   2809     <comp lib="0" loc="(180,1660)" name="Splitter">
   2810       <a name="facing" val="north"/>
   2811       <a name="appear" val="center"/>
   2812     </comp>
   2813     <comp lib="2" loc="(930,960)" name="Multiplexer">
   2814       <a name="facing" val="south"/>
   2815       <a name="width" val="2"/>
   2816       <a name="enable" val="false"/>
   2817     </comp>
   2818     <comp lib="1" loc="(330,1370)" name="AND Gate">
   2819       <a name="inputs" val="8"/>
   2820       <a name="label" val="CMP"/>
   2821     </comp>
   2822     <comp lib="1" loc="(520,330)" name="OR Gate">
   2823       <a name="facing" val="south"/>
   2824       <a name="size" val="30"/>
   2825       <a name="inputs" val="2"/>
   2826     </comp>
   2827     <comp lib="0" loc="(770,2000)" name="Splitter"/>
   2828     <comp lib="1" loc="(640,1140)" name="OR Gate">
   2829       <a name="facing" val="south"/>
   2830       <a name="size" val="30"/>
   2831       <a name="inputs" val="2"/>
   2832     </comp>
   2833     <comp lib="1" loc="(600,1050)" name="OR Gate">
   2834       <a name="facing" val="south"/>
   2835       <a name="size" val="30"/>
   2836       <a name="inputs" val="2"/>
   2837     </comp>
   2838     <comp lib="3" loc="(1280,620)" name="Subtractor">
   2839       <a name="width" val="5"/>
   2840     </comp>
   2841     <comp lib="0" loc="(1190,1360)" name="Pin">
   2842       <a name="facing" val="west"/>
   2843       <a name="output" val="true"/>
   2844       <a name="label" val="ALU State"/>
   2845       <a name="labelloc" val="east"/>
   2846     </comp>
   2847     <comp lib="1" loc="(330,470)" name="AND Gate">
   2848       <a name="inputs" val="8"/>
   2849       <a name="label" val="CIN"/>
   2850       <a name="negate0" val="true"/>
   2851       <a name="negate2" val="true"/>
   2852       <a name="negate3" val="true"/>
   2853     </comp>
   2854     <comp lib="1" loc="(800,910)" name="OR Gate">
   2855       <a name="facing" val="south"/>
   2856       <a name="size" val="30"/>
   2857       <a name="inputs" val="2"/>
   2858     </comp>
   2859     <comp lib="0" loc="(280,1120)" name="Constant"/>
   2860     <comp lib="0" loc="(310,1800)" name="Pin">
   2861       <a name="facing" val="north"/>
   2862       <a name="output" val="true"/>
   2863       <a name="width" val="8"/>
   2864       <a name="label" val="MEM POINTER"/>
   2865       <a name="labelloc" val="south"/>
   2866     </comp>
   2867     <comp lib="1" loc="(280,1470)" name="AND Gate">
   2868       <a name="size" val="30"/>
   2869       <a name="inputs" val="2"/>
   2870       <a name="negate0" val="true"/>
   2871       <a name="negate1" val="true"/>
   2872     </comp>
   2873     <comp lib="0" loc="(180,1690)" name="Splitter">
   2874       <a name="facing" val="north"/>
   2875       <a name="incoming" val="4"/>
   2876       <a name="appear" val="right"/>
   2877       <a name="bit1" val="0"/>
   2878       <a name="bit2" val="1"/>
   2879       <a name="bit3" val="1"/>
   2880     </comp>
   2881     <comp lib="0" loc="(660,2000)" name="Pin">
   2882       <a name="facing" val="north"/>
   2883       <a name="output" val="true"/>
   2884       <a name="label" val="Address MUX"/>
   2885       <a name="labelloc" val="south"/>
   2886     </comp>
   2887     <comp lib="0" loc="(940,1000)" name="Constant">
   2888       <a name="facing" val="south"/>
   2889       <a name="width" val="2"/>
   2890     </comp>
   2891     <comp lib="0" loc="(280,240)" name="Constant"/>
   2892     <comp lib="0" loc="(210,1740)" name="Splitter">
   2893       <a name="facing" val="north"/>
   2894       <a name="incoming" val="8"/>
   2895       <a name="bit1" val="0"/>
   2896       <a name="bit2" val="0"/>
   2897       <a name="bit3" val="0"/>
   2898       <a name="bit4" val="1"/>
   2899       <a name="bit5" val="1"/>
   2900       <a name="bit6" val="1"/>
   2901       <a name="bit7" val="1"/>
   2902     </comp>
   2903     <comp lib="1" loc="(830,670)" name="AND Gate">
   2904       <a name="size" val="30"/>
   2905       <a name="inputs" val="2"/>
   2906     </comp>
   2907     <comp lib="0" loc="(1160,1760)" name="Splitter">
   2908       <a name="facing" val="west"/>
   2909       <a name="fanout" val="4"/>
   2910       <a name="incoming" val="4"/>
   2911     </comp>
   2912     <comp lib="1" loc="(1110,1850)" name="OR Gate">
   2913       <a name="size" val="30"/>
   2914       <a name="inputs" val="3"/>
   2915     </comp>
   2916     <comp lib="1" loc="(1090,1360)" name="OR Gate">
   2917       <a name="size" val="30"/>
   2918       <a name="inputs" val="2"/>
   2919     </comp>
   2920     <comp lib="1" loc="(330,650)" name="AND Gate">
   2921       <a name="inputs" val="8"/>
   2922       <a name="label" val="LNI/LI"/>
   2923       <a name="negate7" val="true"/>
   2924     </comp>
   2925     <comp lib="1" loc="(780,1730)" name="AND Gate">
   2926       <a name="size" val="30"/>
   2927       <a name="inputs" val="2"/>
   2928       <a name="negate1" val="true"/>
   2929     </comp>
   2930     <comp lib="1" loc="(480,1410)" name="OR Gate">
   2931       <a name="facing" val="south"/>
   2932       <a name="size" val="30"/>
   2933       <a name="inputs" val="2"/>
   2934     </comp>
   2935     <comp lib="0" loc="(280,300)" name="Constant"/>
   2936     <comp lib="0" loc="(940,1480)" name="Constant">
   2937       <a name="facing" val="south"/>
   2938       <a name="width" val="2"/>
   2939       <a name="value" val="0x0"/>
   2940     </comp>
   2941     <comp lib="0" loc="(520,60)" name="Constant">
   2942       <a name="facing" val="south"/>
   2943       <a name="value" val="0x0"/>
   2944     </comp>
   2945     <comp lib="0" loc="(940,910)" name="Constant">
   2946       <a name="facing" val="south"/>
   2947       <a name="width" val="2"/>
   2948     </comp>
   2949     <comp lib="1" loc="(330,560)" name="AND Gate">
   2950       <a name="inputs" val="8"/>
   2951       <a name="label" val="LLI"/>
   2952       <a name="negate6" val="true"/>
   2953       <a name="negate7" val="true"/>
   2954     </comp>
   2955     <comp lib="0" loc="(280,510)" name="Constant"/>
   2956     <comp lib="2" loc="(160,50)" name="Multiplexer">
   2957       <a name="width" val="8"/>
   2958       <a name="enable" val="false"/>
   2959     </comp>
   2960     <comp lib="1" loc="(640,600)" name="OR Gate">
   2961       <a name="facing" val="south"/>
   2962       <a name="size" val="30"/>
   2963       <a name="inputs" val="2"/>
   2964     </comp>
   2965     <comp lib="1" loc="(560,420)" name="OR Gate">
   2966       <a name="facing" val="south"/>
   2967       <a name="size" val="30"/>
   2968       <a name="inputs" val="2"/>
   2969     </comp>
   2970     <comp lib="0" loc="(280,1380)" name="Constant"/>
   2971     <comp lib="0" loc="(280,1350)" name="Constant"/>
   2972     <comp lib="1" loc="(920,1720)" name="OR Gate">
   2973       <a name="facing" val="south"/>
   2974       <a name="width" val="2"/>
   2975       <a name="size" val="30"/>
   2976       <a name="inputs" val="2"/>
   2977     </comp>
   2978     <comp lib="1" loc="(520,1230)" name="OR Gate">
   2979       <a name="facing" val="south"/>
   2980       <a name="size" val="30"/>
   2981       <a name="inputs" val="2"/>
   2982     </comp>
   2983     <comp lib="1" loc="(520,1410)" name="OR Gate">
   2984       <a name="facing" val="south"/>
   2985       <a name="size" val="30"/>
   2986       <a name="inputs" val="2"/>
   2987     </comp>
   2988     <comp lib="0" loc="(840,520)" name="Constant">
   2989       <a name="facing" val="south"/>
   2990       <a name="width" val="2"/>
   2991       <a name="value" val="0x0"/>
   2992     </comp>
   2993     <comp lib="2" loc="(850,1050)" name="Multiplexer">
   2994       <a name="facing" val="south"/>
   2995       <a name="width" val="2"/>
   2996       <a name="enable" val="false"/>
   2997     </comp>
   2998     <comp lib="1" loc="(560,510)" name="OR Gate">
   2999       <a name="facing" val="south"/>
   3000       <a name="size" val="30"/>
   3001       <a name="inputs" val="2"/>
   3002     </comp>
   3003     <comp lib="2" loc="(930,870)" name="Multiplexer">
   3004       <a name="facing" val="south"/>
   3005       <a name="width" val="2"/>
   3006       <a name="enable" val="false"/>
   3007     </comp>
   3008     <comp lib="1" loc="(810,810)" name="AND Gate">
   3009       <a name="facing" val="south"/>
   3010       <a name="size" val="30"/>
   3011       <a name="inputs" val="2"/>
   3012       <a name="negate0" val="true"/>
   3013     </comp>
   3014     <comp lib="1" loc="(1240,750)" name="OR Gate">
   3015       <a name="facing" val="west"/>
   3016       <a name="size" val="30"/>
   3017       <a name="inputs" val="2"/>
   3018       <a name="negate0" val="true"/>
   3019     </comp>
   3020     <comp lib="1" loc="(80,20)" name="NOT Gate">
   3021       <a name="facing" val="west"/>
   3022     </comp>
   3023     <comp lib="1" loc="(330,380)" name="AND Gate">
   3024       <a name="inputs" val="8"/>
   3025       <a name="label" val="DYN"/>
   3026       <a name="negate0" val="true"/>
   3027     </comp>
   3028     <comp lib="0" loc="(1190,1190)" name="Pin">
   3029       <a name="facing" val="west"/>
   3030       <a name="output" val="true"/>
   3031       <a name="label" val="Write Enable"/>
   3032       <a name="labelloc" val="east"/>
   3033     </comp>
   3034     <comp lib="1" loc="(760,1230)" name="OR Gate">
   3035       <a name="facing" val="south"/>
   3036       <a name="size" val="30"/>
   3037       <a name="inputs" val="2"/>
   3038     </comp>
   3039     <comp lib="0" loc="(440,60)" name="Constant">
   3040       <a name="facing" val="south"/>
   3041       <a name="value" val="0x0"/>
   3042     </comp>
   3043     <comp lib="0" loc="(280,1390)" name="Constant"/>
   3044     <comp lib="0" loc="(190,1720)" name="Constant">
   3045       <a name="facing" val="south"/>
   3046       <a name="width" val="4"/>
   3047       <a name="value" val="0x0"/>
   3048     </comp>
   3049     <comp lib="1" loc="(520,420)" name="OR Gate">
   3050       <a name="facing" val="south"/>
   3051       <a name="size" val="30"/>
   3052       <a name="inputs" val="2"/>
   3053     </comp>
   3054     <comp lib="1" loc="(440,330)" name="OR Gate">
   3055       <a name="facing" val="south"/>
   3056       <a name="size" val="30"/>
   3057       <a name="inputs" val="2"/>
   3058     </comp>
   3059     <comp lib="0" loc="(280,570)" name="Constant"/>
   3060     <comp lib="0" loc="(280,270)" name="Constant"/>
   3061     <comp lib="0" loc="(280,580)" name="Constant"/>
   3062     <comp lib="1" loc="(360,330)" name="OR Gate">
   3063       <a name="facing" val="south"/>
   3064       <a name="size" val="30"/>
   3065       <a name="inputs" val="2"/>
   3066     </comp>
   3067     <comp lib="2" loc="(290,1570)" name="Multiplexer">
   3068       <a name="width" val="2"/>
   3069       <a name="enable" val="false"/>
   3070     </comp>
   3071     <comp lib="4" loc="(870,1790)" name="Register">
   3072       <a name="width" val="1"/>
   3073       <a name="trigger" val="falling"/>
   3074     </comp>
   3075     <comp lib="0" loc="(900,910)" name="Constant">
   3076       <a name="facing" val="south"/>
   3077       <a name="width" val="2"/>
   3078       <a name="value" val="0x0"/>
   3079     </comp>
   3080     <comp lib="0" loc="(860,1000)" name="Constant">
   3081       <a name="facing" val="south"/>
   3082       <a name="width" val="2"/>
   3083       <a name="value" val="0x0"/>
   3084     </comp>
   3085     <comp lib="0" loc="(260,1560)" name="Constant">
   3086       <a name="width" val="2"/>
   3087       <a name="value" val="0x0"/>
   3088     </comp>
   3089     <comp lib="3" loc="(1190,920)" name="Comparator">
   3090       <a name="width" val="4"/>
   3091     </comp>
   3092     <comp lib="0" loc="(800,1490)" name="Pin">
   3093       <a name="facing" val="north"/>
   3094       <a name="output" val="true"/>
   3095       <a name="label" val="PUSH"/>
   3096       <a name="labelloc" val="south"/>
   3097     </comp>
   3098     <comp lib="1" loc="(1180,1410)" name="OR Gate">
   3099       <a name="size" val="30"/>
   3100       <a name="inputs" val="3"/>
   3101     </comp>
   3102     <comp lib="0" loc="(400,60)" name="Constant">
   3103       <a name="facing" val="south"/>
   3104       <a name="value" val="0x0"/>
   3105     </comp>
   3106     <comp lib="0" loc="(280,490)" name="Constant"/>
   3107     <comp lib="0" loc="(860,550)" name="Constant">
   3108       <a name="facing" val="south"/>
   3109       <a name="width" val="2"/>
   3110       <a name="value" val="0x0"/>
   3111     </comp>
   3112     <comp lib="1" loc="(520,1140)" name="OR Gate">
   3113       <a name="facing" val="south"/>
   3114       <a name="size" val="30"/>
   3115       <a name="inputs" val="2"/>
   3116     </comp>
   3117     <comp lib="1" loc="(360,510)" name="OR Gate">
   3118       <a name="facing" val="south"/>
   3119       <a name="size" val="30"/>
   3120       <a name="inputs" val="2"/>
   3121     </comp>
   3122     <comp lib="4" loc="(1400,690)" name="Register">
   3123       <a name="width" val="1"/>
   3124     </comp>
   3125     <comp lib="0" loc="(940,660)" name="Constant">
   3126       <a name="facing" val="south"/>
   3127       <a name="width" val="2"/>
   3128     </comp>
   3129     <comp lib="0" loc="(280,1200)" name="Constant"/>
   3130     <comp lib="4" loc="(110,50)" name="Register">
   3131       <a name="trigger" val="falling"/>
   3132     </comp>
   3133     <comp lib="1" loc="(920,1840)" name="OR Gate">
   3134       <a name="facing" val="south"/>
   3135       <a name="size" val="30"/>
   3136       <a name="inputs" val="2"/>
   3137     </comp>
   3138     <comp lib="1" loc="(480,420)" name="OR Gate">
   3139       <a name="facing" val="south"/>
   3140       <a name="size" val="30"/>
   3141       <a name="inputs" val="2"/>
   3142     </comp>
   3143     <comp lib="1" loc="(520,510)" name="OR Gate">
   3144       <a name="facing" val="south"/>
   3145       <a name="size" val="30"/>
   3146       <a name="inputs" val="2"/>
   3147     </comp>
   3148     <comp lib="0" loc="(860,910)" name="Constant">
   3149       <a name="facing" val="south"/>
   3150       <a name="width" val="2"/>
   3151       <a name="value" val="0x0"/>
   3152     </comp>
   3153     <comp lib="6" loc="(233,1954)" name="Text">
   3154       <a name="text" val="This input defines the range of MMIO --&gt;"/>
   3155     </comp>
   3156     <comp lib="0" loc="(880,520)" name="Constant">
   3157       <a name="facing" val="south"/>
   3158       <a name="width" val="2"/>
   3159       <a name="value" val="0x0"/>
   3160     </comp>
   3161     <comp lib="0" loc="(860,820)" name="Constant">
   3162       <a name="facing" val="south"/>
   3163       <a name="width" val="2"/>
   3164     </comp>
   3165     <comp lib="0" loc="(440,2000)" name="Pin">
   3166       <a name="facing" val="north"/>
   3167       <a name="output" val="true"/>
   3168       <a name="label" val="External Address Select"/>
   3169       <a name="labelloc" val="south"/>
   3170     </comp>
   3171     <comp lib="1" loc="(280,100)" name="OR Gate">
   3172       <a name="size" val="30"/>
   3173       <a name="inputs" val="2"/>
   3174     </comp>
   3175     <comp lib="2" loc="(890,1530)" name="Multiplexer">
   3176       <a name="facing" val="south"/>
   3177       <a name="width" val="2"/>
   3178       <a name="disabled" val="0"/>
   3179       <a name="enable" val="false"/>
   3180     </comp>
   3181     <comp lib="1" loc="(600,960)" name="OR Gate">
   3182       <a name="facing" val="south"/>
   3183       <a name="size" val="30"/>
   3184       <a name="inputs" val="2"/>
   3185     </comp>
   3186     <comp lib="1" loc="(1050,1670)" name="AND Gate">
   3187       <a name="facing" val="west"/>
   3188       <a name="label" val="pcr"/>
   3189       <a name="negate0" val="true"/>
   3190       <a name="negate2" val="true"/>
   3191     </comp>
   3192     <comp lib="2" loc="(910,1680)" name="Multiplexer">
   3193       <a name="facing" val="south"/>
   3194       <a name="width" val="2"/>
   3195       <a name="enable" val="false"/>
   3196     </comp>
   3197     <comp lib="0" loc="(1240,630)" name="Constant">
   3198       <a name="width" val="5"/>
   3199     </comp>
   3200     <comp lib="1" loc="(600,1320)" name="OR Gate">
   3201       <a name="facing" val="south"/>
   3202       <a name="size" val="30"/>
   3203       <a name="inputs" val="2"/>
   3204     </comp>
   3205     <comp lib="0" loc="(280,1110)" name="Constant"/>
   3206     <comp lib="3" loc="(1250,960)" name="Adder">
   3207       <a name="width" val="5"/>
   3208     </comp>
   3209     <comp lib="0" loc="(1190,970)" name="Bit Extender">
   3210       <a name="in_width" val="4"/>
   3211       <a name="out_width" val="5"/>
   3212     </comp>
   3213     <comp lib="0" loc="(360,60)" name="Constant">
   3214       <a name="facing" val="south"/>
   3215       <a name="value" val="0x0"/>
   3216     </comp>
   3217     <comp lib="0" loc="(280,400)" name="Constant"/>
   3218     <comp lib="0" loc="(880,1720)" name="Pin">
   3219       <a name="facing" val="north"/>
   3220       <a name="output" val="true"/>
   3221       <a name="width" val="2"/>
   3222       <a name="label" val="B (R)"/>
   3223       <a name="labelloc" val="south"/>
   3224     </comp>
   3225     <comp lib="0" loc="(280,660)" name="Constant"/>
   3226     <comp lib="1" loc="(1050,1790)" name="AND Gate">
   3227       <a name="facing" val="west"/>
   3228       <a name="label" val="ptrinc"/>
   3229     </comp>
   3230     <comp lib="1" loc="(520,150)" name="OR Gate">
   3231       <a name="facing" val="south"/>
   3232       <a name="size" val="30"/>
   3233       <a name="inputs" val="2"/>
   3234     </comp>
   3235     <comp lib="0" loc="(900,1480)" name="Constant">
   3236       <a name="facing" val="south"/>
   3237       <a name="width" val="2"/>
   3238       <a name="value" val="0x0"/>
   3239     </comp>
   3240     <comp lib="2" loc="(1170,610)" name="Multiplexer">
   3241       <a name="width" val="5"/>
   3242       <a name="enable" val="false"/>
   3243     </comp>
   3244     <comp lib="0" loc="(620,1490)" name="Pin">
   3245       <a name="facing" val="north"/>
   3246       <a name="output" val="true"/>
   3247       <a name="width" val="2"/>
   3248       <a name="label" val="GPR MUX"/>
   3249       <a name="labelloc" val="south"/>
   3250     </comp>
   3251     <comp lib="0" loc="(220,1580)" name="Splitter">
   3252       <a name="facing" val="north"/>
   3253       <a name="appear" val="center"/>
   3254     </comp>
   3255     <comp lib="0" loc="(280,220)" name="Constant"/>
   3256     <comp lib="0" loc="(280,640)" name="Constant"/>
   3257     <comp lib="0" loc="(280,360)" name="Constant"/>
   3258     <comp lib="0" loc="(380,1950)" name="Constant">
   3259       <a name="width" val="8"/>
   3260       <a name="value" val="0xfc"/>
   3261     </comp>
   3262     <comp lib="1" loc="(330,1100)" name="AND Gate">
   3263       <a name="inputs" val="8"/>
   3264       <a name="label" val="LB"/>
   3265       <a name="negate3" val="true"/>
   3266       <a name="negate6" val="true"/>
   3267     </comp>
   3268     <comp lib="0" loc="(280,630)" name="Constant"/>
   3269     <comp lib="0" loc="(920,1720)" name="Pin">
   3270       <a name="facing" val="north"/>
   3271       <a name="output" val="true"/>
   3272       <a name="width" val="2"/>
   3273       <a name="label" val="C (W)"/>
   3274       <a name="labelloc" val="south"/>
   3275     </comp>
   3276     <comp lib="2" loc="(890,690)" name="Multiplexer">
   3277       <a name="facing" val="south"/>
   3278       <a name="width" val="2"/>
   3279       <a name="disabled" val="0"/>
   3280       <a name="enable" val="false"/>
   3281     </comp>
   3282     <comp lib="0" loc="(760,1490)" name="Pin">
   3283       <a name="facing" val="north"/>
   3284       <a name="output" val="true"/>
   3285       <a name="label" val="POP"/>
   3286       <a name="labelloc" val="south"/>
   3287     </comp>
   3288     <comp lib="0" loc="(900,660)" name="Constant">
   3289       <a name="facing" val="south"/>
   3290       <a name="width" val="2"/>
   3291       <a name="value" val="0x0"/>
   3292     </comp>
   3293     <comp lib="0" loc="(200,1700)" name="Splitter">
   3294       <a name="facing" val="north"/>
   3295       <a name="incoming" val="4"/>
   3296       <a name="appear" val="right"/>
   3297       <a name="bit1" val="0"/>
   3298       <a name="bit2" val="1"/>
   3299       <a name="bit3" val="1"/>
   3300     </comp>
   3301     <comp lib="1" loc="(1330,620)" name="AND Gate">
   3302       <a name="facing" val="south"/>
   3303       <a name="size" val="30"/>
   3304       <a name="inputs" val="2"/>
   3305       <a name="negate0" val="true"/>
   3306       <a name="negate1" val="true"/>
   3307     </comp>
   3308     <comp lib="3" loc="(420,1940)" name="Comparator">
   3309       <a name="mode" val="unsigned"/>
   3310     </comp>
   3311     <comp lib="0" loc="(80,50)" name="Pin">
   3312       <a name="width" val="8"/>
   3313       <a name="tristate" val="false"/>
   3314       <a name="label" val="DATA"/>
   3315       <a name="labelloc" val="north"/>
   3316     </comp>
   3317     <comp lib="4" loc="(260,1790)" name="Register"/>
   3318     <comp lib="2" loc="(930,600)" name="Multiplexer">
   3319       <a name="facing" val="south"/>
   3320       <a name="width" val="2"/>
   3321       <a name="enable" val="false"/>
   3322     </comp>
   3323     <comp lib="0" loc="(280,420)" name="Constant"/>
   3324     <comp lib="0" loc="(480,60)" name="Constant">
   3325       <a name="facing" val="south"/>
   3326       <a name="value" val="0x0"/>
   3327     </comp>
   3328     <comp lib="2" loc="(850,870)" name="Multiplexer">
   3329       <a name="facing" val="south"/>
   3330       <a name="width" val="2"/>
   3331       <a name="enable" val="false"/>
   3332     </comp>
   3333     <comp lib="1" loc="(330,1280)" name="AND Gate">
   3334       <a name="inputs" val="8"/>
   3335       <a name="label" val="SP"/>
   3336       <a name="negate6" val="true"/>
   3337     </comp>
   3338     <comp lib="0" loc="(1240,680)" name="Constant">
   3339       <a name="width" val="5"/>
   3340       <a name="value" val="0x0"/>
   3341     </comp>
   3342     <comp lib="1" loc="(480,330)" name="OR Gate">
   3343       <a name="facing" val="south"/>
   3344       <a name="size" val="30"/>
   3345       <a name="inputs" val="2"/>
   3346     </comp>
   3347     <comp lib="1" loc="(680,1690)" name="AND Gate">
   3348       <a name="facing" val="west"/>
   3349       <a name="size" val="30"/>
   3350       <a name="inputs" val="2"/>
   3351     </comp>
   3352     <comp lib="1" loc="(1090,1400)" name="OR Gate">
   3353       <a name="size" val="30"/>
   3354       <a name="inputs" val="3"/>
   3355     </comp>
   3356     <comp lib="1" loc="(360,1180)" name="AND Gate">
   3357       <a name="size" val="30"/>
   3358       <a name="inputs" val="2"/>
   3359     </comp>
   3360     <comp lib="1" loc="(330,290)" name="AND Gate">
   3361       <a name="inputs" val="8"/>
   3362       <a name="label" val="NAND"/>
   3363       <a name="negate1" val="true"/>
   3364     </comp>
   3365     <comp lib="0" loc="(680,60)" name="Constant">
   3366       <a name="facing" val="south"/>
   3367       <a name="value" val="0x0"/>
   3368     </comp>
   3369     <comp lib="0" loc="(1190,1410)" name="Pin">
   3370       <a name="facing" val="west"/>
   3371       <a name="output" val="true"/>
   3372       <a name="tristate" val="false"/>
   3373       <a name="label" val="GPR Forward Input"/>
   3374       <a name="labelloc" val="east"/>
   3375     </comp>
   3376     <comp lib="1" loc="(1170,1840)" name="AND Gate">
   3377       <a name="size" val="30"/>
   3378       <a name="inputs" val="4"/>
   3379       <a name="negate1" val="true"/>
   3380       <a name="negate2" val="true"/>
   3381       <a name="negate3" val="true"/>
   3382     </comp>
   3383     <comp lib="1" loc="(1170,650)" name="OR Gate">
   3384       <a name="facing" val="north"/>
   3385       <a name="size" val="30"/>
   3386       <a name="inputs" val="2"/>
   3387       <a name="negate0" val="true"/>
   3388     </comp>
   3389     <comp lib="1" loc="(440,420)" name="OR Gate">
   3390       <a name="facing" val="south"/>
   3391       <a name="size" val="30"/>
   3392       <a name="inputs" val="2"/>
   3393     </comp>
   3394     <comp lib="0" loc="(280,550)" name="Constant"/>
   3395     <comp lib="1" loc="(1240,790)" name="AND Gate">
   3396       <a name="facing" val="west"/>
   3397       <a name="size" val="30"/>
   3398       <a name="inputs" val="2"/>
   3399       <a name="negate0" val="true"/>
   3400       <a name="negate1" val="true"/>
   3401     </comp>
   3402     <comp lib="0" loc="(280,310)" name="Constant"/>
   3403     <comp lib="2" loc="(890,870)" name="Multiplexer">
   3404       <a name="facing" val="south"/>
   3405       <a name="width" val="2"/>
   3406       <a name="enable" val="false"/>
   3407     </comp>
   3408     <comp lib="1" loc="(330,1010)" name="AND Gate">
   3409       <a name="inputs" val="8"/>
   3410       <a name="label" val="PEEK"/>
   3411       <a name="negate2" val="true"/>
   3412       <a name="negate3" val="true"/>
   3413       <a name="negate6" val="true"/>
   3414     </comp>
   3415     <comp lib="0" loc="(80,1780)" name="Pin">
   3416       <a name="width" val="8"/>
   3417       <a name="tristate" val="false"/>
   3418       <a name="label" val="ALU OUTPUT"/>
   3419       <a name="labelloc" val="south"/>
   3420     </comp>
   3421     <comp lib="1" loc="(800,1140)" name="OR Gate">
   3422       <a name="facing" val="south"/>
   3423       <a name="size" val="30"/>
   3424       <a name="inputs" val="2"/>
   3425     </comp>
   3426     <comp lib="0" loc="(280,1360)" name="Constant"/>
   3427     <comp lib="1" loc="(440,2000)" name="AND Gate">
   3428       <a name="facing" val="south"/>
   3429       <a name="size" val="30"/>
   3430       <a name="inputs" val="2"/>
   3431       <a name="negate0" val="true"/>
   3432     </comp>
   3433     <comp lib="4" loc="(710,1740)" name="Register">
   3434       <a name="width" val="1"/>
   3435       <a name="trigger" val="falling"/>
   3436     </comp>
   3437     <comp lib="1" loc="(1330,660)" name="OR Gate">
   3438       <a name="size" val="30"/>
   3439       <a name="inputs" val="2"/>
   3440       <a name="negate0" val="true"/>
   3441     </comp>
   3442     <comp lib="1" loc="(480,510)" name="OR Gate">
   3443       <a name="facing" val="south"/>
   3444       <a name="size" val="30"/>
   3445       <a name="inputs" val="2"/>
   3446     </comp>
   3447     <comp lib="0" loc="(860,660)" name="Constant">
   3448       <a name="facing" val="south"/>
   3449       <a name="width" val="2"/>
   3450       <a name="value" val="0x0"/>
   3451     </comp>
   3452     <comp lib="1" loc="(360,1270)" name="AND Gate">
   3453       <a name="size" val="30"/>
   3454       <a name="inputs" val="2"/>
   3455     </comp>
   3456     <comp lib="0" loc="(940,550)" name="Constant">
   3457       <a name="facing" val="south"/>
   3458       <a name="width" val="2"/>
   3459     </comp>
   3460     <comp lib="0" loc="(1160,1700)" name="Splitter">
   3461       <a name="facing" val="west"/>
   3462       <a name="fanout" val="4"/>
   3463       <a name="incoming" val="4"/>
   3464     </comp>
   3465     <comp lib="0" loc="(280,390)" name="Constant"/>
   3466     <comp lib="1" loc="(520,1320)" name="OR Gate">
   3467       <a name="facing" val="south"/>
   3468       <a name="size" val="30"/>
   3469       <a name="inputs" val="2"/>
   3470     </comp>
   3471     <comp lib="0" loc="(280,410)" name="Constant"/>
   3472     <comp lib="0" loc="(350,1730)" name="Pin">
   3473       <a name="facing" val="north"/>
   3474       <a name="output" val="true"/>
   3475       <a name="width" val="8"/>
   3476       <a name="label" val="IMM VALUE"/>
   3477       <a name="labelloc" val="south"/>
   3478     </comp>
   3479     <comp lib="2" loc="(930,1530)" name="Multiplexer">
   3480       <a name="facing" val="south"/>
   3481       <a name="width" val="2"/>
   3482       <a name="disabled" val="0"/>
   3483       <a name="enable" val="false"/>
   3484     </comp>
   3485     <comp lib="0" loc="(560,60)" name="Constant">
   3486       <a name="facing" val="south"/>
   3487       <a name="value" val="0x0"/>
   3488     </comp>
   3489     <comp lib="1" loc="(600,1230)" name="OR Gate">
   3490       <a name="facing" val="south"/>
   3491       <a name="size" val="30"/>
   3492       <a name="inputs" val="2"/>
   3493     </comp>
   3494     <comp lib="1" loc="(1140,820)" name="AND Gate">
   3495       <a name="facing" val="west"/>
   3496       <a name="size" val="30"/>
   3497       <a name="inputs" val="2"/>
   3498     </comp>
   3499     <comp lib="0" loc="(50,150)" name="Pin">
   3500       <a name="tristate" val="false"/>
   3501       <a name="label" val="CLK"/>
   3502     </comp>
   3503     <comp lib="0" loc="(440,1490)" name="Pin">
   3504       <a name="facing" val="north"/>
   3505       <a name="output" val="true"/>
   3506       <a name="width" val="3"/>
   3507       <a name="label" val="ALU OP"/>
   3508       <a name="labelloc" val="south"/>
   3509     </comp>
   3510     <comp lib="1" loc="(880,1720)" name="OR Gate">
   3511       <a name="facing" val="south"/>
   3512       <a name="width" val="2"/>
   3513       <a name="size" val="30"/>
   3514       <a name="inputs" val="2"/>
   3515     </comp>
   3516     <comp lib="0" loc="(200,1620)" name="Splitter">
   3517       <a name="facing" val="north"/>
   3518       <a name="appear" val="center"/>
   3519     </comp>
   3520     <comp lib="1" loc="(560,330)" name="OR Gate">
   3521       <a name="facing" val="south"/>
   3522       <a name="size" val="30"/>
   3523       <a name="inputs" val="2"/>
   3524     </comp>
   3525     <comp lib="1" loc="(330,110)" name="AND Gate">
   3526       <a name="inputs" val="8"/>
   3527       <a name="label" val="OR"/>
   3528       <a name="negate0" val="true"/>
   3529       <a name="negate1" val="true"/>
   3530     </comp>
   3531     <comp lib="0" loc="(280,320)" name="Constant"/>
   3532     <comp lib="1" loc="(760,1320)" name="OR Gate">
   3533       <a name="facing" val="south"/>
   3534       <a name="size" val="30"/>
   3535       <a name="inputs" val="2"/>
   3536     </comp>
   3537     <comp lib="0" loc="(1220,1810)" name="Pin">
   3538       <a name="facing" val="west"/>
   3539       <a name="output" val="true"/>
   3540       <a name="width" val="4"/>
   3541       <a name="label" val="Dynamic OP"/>
   3542       <a name="labelloc" val="east"/>
   3543     </comp>
   3544     <comp lib="0" loc="(280,230)" name="Constant"/>
   3545     <comp lib="0" loc="(1160,1640)" name="Splitter">
   3546       <a name="facing" val="west"/>
   3547       <a name="fanout" val="4"/>
   3548       <a name="incoming" val="4"/>
   3549     </comp>
   3550     <comp lib="1" loc="(800,1460)" name="OR Gate">
   3551       <a name="facing" val="south"/>
   3552       <a name="size" val="30"/>
   3553       <a name="inputs" val="2"/>
   3554     </comp>
   3555     <comp lib="0" loc="(280,210)" name="Constant"/>
   3556     <comp lib="0" loc="(260,1600)" name="Constant">
   3557       <a name="width" val="2"/>
   3558       <a name="value" val="0x0"/>
   3559     </comp>
   3560     <comp lib="1" loc="(330,740)" name="AND Gate">
   3561       <a name="inputs" val="8"/>
   3562       <a name="label" val="JMP"/>
   3563       <a name="negate2" val="true"/>
   3564       <a name="negate3" val="true"/>
   3565       <a name="negate4" val="true"/>
   3566       <a name="negate5" val="true"/>
   3567       <a name="negate6" val="true"/>
   3568     </comp>
   3569     <comp lib="2" loc="(850,960)" name="Multiplexer">
   3570       <a name="facing" val="south"/>
   3571       <a name="width" val="2"/>
   3572       <a name="enable" val="false"/>
   3573     </comp>
   3574     <comp lib="1" loc="(330,830)" name="AND Gate">
   3575       <a name="inputs" val="8"/>
   3576       <a name="label" val="PUSH"/>
   3577       <a name="negate2" val="true"/>
   3578       <a name="negate3" val="true"/>
   3579       <a name="negate5" val="true"/>
   3580       <a name="negate6" val="true"/>
   3581     </comp>
   3582     <comp lib="0" loc="(620,1480)" name="Splitter">
   3583       <a name="facing" val="north"/>
   3584       <a name="appear" val="right"/>
   3585     </comp>
   3586     <comp lib="0" loc="(280,540)" name="Constant"/>
   3587     <comp lib="0" loc="(280,1290)" name="Constant"/>
   3588     <comp lib="2" loc="(930,1050)" name="Multiplexer">
   3589       <a name="facing" val="south"/>
   3590       <a name="width" val="2"/>
   3591       <a name="enable" val="false"/>
   3592     </comp>
   3593     <comp lib="1" loc="(680,1140)" name="OR Gate">
   3594       <a name="facing" val="south"/>
   3595       <a name="size" val="30"/>
   3596       <a name="inputs" val="2"/>
   3597     </comp>
   3598     <comp lib="1" loc="(330,920)" name="AND Gate">
   3599       <a name="inputs" val="8"/>
   3600       <a name="label" val="POP"/>
   3601       <a name="negate2" val="true"/>
   3602       <a name="negate3" val="true"/>
   3603       <a name="negate4" val="true"/>
   3604       <a name="negate6" val="true"/>
   3605     </comp>
   3606     <comp lib="0" loc="(280,90)" name="Constant"/>
   3607     <comp lib="0" loc="(390,1810)" name="Constant">
   3608       <a name="width" val="8"/>
   3609     </comp>
   3610     <comp lib="0" loc="(1240,560)" name="Constant">
   3611       <a name="width" val="5"/>
   3612       <a name="value" val="0x0"/>
   3613     </comp>
   3614     <comp lib="2" loc="(850,690)" name="Multiplexer">
   3615       <a name="facing" val="south"/>
   3616       <a name="width" val="2"/>
   3617       <a name="disabled" val="0"/>
   3618       <a name="enable" val="false"/>
   3619     </comp>
   3620     <comp lib="0" loc="(720,1930)" name="Pin">
   3621       <a name="facing" val="north"/>
   3622       <a name="tristate" val="false"/>
   3623       <a name="label" val="JMP FLAG"/>
   3624       <a name="labelloc" val="south"/>
   3625     </comp>
   3626     <comp lib="1" loc="(480,150)" name="OR Gate">
   3627       <a name="facing" val="south"/>
   3628       <a name="size" val="30"/>
   3629       <a name="inputs" val="2"/>
   3630     </comp>
   3631     <comp lib="1" loc="(780,1790)" name="OR Gate">
   3632       <a name="size" val="30"/>
   3633       <a name="inputs" val="2"/>
   3634     </comp>
   3635     <comp lib="2" loc="(290,1650)" name="Multiplexer">
   3636       <a name="width" val="2"/>
   3637       <a name="enable" val="false"/>
   3638     </comp>
   3639     <comp lib="2" loc="(890,600)" name="Multiplexer">
   3640       <a name="facing" val="south"/>
   3641       <a name="width" val="2"/>
   3642       <a name="enable" val="false"/>
   3643     </comp>
   3644     <comp lib="0" loc="(770,2000)" name="Pin">
   3645       <a name="facing" val="north"/>
   3646       <a name="output" val="true"/>
   3647       <a name="width" val="2"/>
   3648       <a name="label" val="BRANCH"/>
   3649       <a name="labelloc" val="south"/>
   3650     </comp>
   3651     <comp lib="0" loc="(280,130)" name="Constant"/>
   3652     <comp lib="1" loc="(560,150)" name="OR Gate">
   3653       <a name="facing" val="south"/>
   3654       <a name="size" val="30"/>
   3655       <a name="inputs" val="2"/>
   3656     </comp>
   3657     <comp lib="0" loc="(440,1490)" name="Splitter">
   3658       <a name="facing" val="north"/>
   3659       <a name="fanout" val="3"/>
   3660       <a name="incoming" val="3"/>
   3661       <a name="appear" val="center"/>
   3662     </comp>
   3663     <comp lib="1" loc="(840,1720)" name="OR Gate">
   3664       <a name="facing" val="south"/>
   3665       <a name="width" val="2"/>
   3666       <a name="size" val="30"/>
   3667       <a name="inputs" val="2"/>
   3668     </comp>
   3669     <comp lib="1" loc="(280,370)" name="OR Gate">
   3670       <a name="size" val="30"/>
   3671       <a name="inputs" val="2"/>
   3672     </comp>
   3673     <comp lib="2" loc="(890,960)" name="Multiplexer">
   3674       <a name="facing" val="south"/>
   3675       <a name="width" val="2"/>
   3676       <a name="enable" val="false"/>
   3677     </comp>
   3678     <comp lib="0" loc="(640,60)" name="Constant">
   3679       <a name="facing" val="south"/>
   3680       <a name="value" val="0x0"/>
   3681     </comp>
   3682     <comp lib="0" loc="(260,1640)" name="Constant">
   3683       <a name="width" val="2"/>
   3684       <a name="value" val="0x0"/>
   3685     </comp>
   3686     <comp lib="0" loc="(1150,930)" name="Constant">
   3687       <a name="width" val="4"/>
   3688       <a name="value" val="0x0"/>
   3689     </comp>
   3690     <comp lib="1" loc="(640,1460)" name="OR Gate">
   3691       <a name="facing" val="south"/>
   3692       <a name="size" val="30"/>
   3693       <a name="inputs" val="2"/>
   3694     </comp>
   3695     <comp lib="0" loc="(280,120)" name="Constant"/>
   3696     <comp lib="4" loc="(1210,610)" name="Register">
   3697       <a name="width" val="5"/>
   3698     </comp>
   3699     <comp lib="2" loc="(850,1530)" name="Multiplexer">
   3700       <a name="facing" val="south"/>
   3701       <a name="width" val="2"/>
   3702       <a name="disabled" val="0"/>
   3703       <a name="enable" val="false"/>
   3704     </comp>
   3705     <comp lib="3" loc="(1280,670)" name="Comparator">
   3706       <a name="width" val="5"/>
   3707     </comp>
   3708   </circuit>
   3709   <circuit name="STK">
   3710     <a name="circuit" val="STK"/>
   3711     <a name="clabel" val=""/>
   3712     <a name="clabelup" val="east"/>
   3713     <a name="clabelfont" val="SansSerif plain 12"/>
   3714     <appear>
   3715       <rect fill="none" height="31" stroke="#000000" stroke-width="2" width="30" x="50" y="60"/>
   3716       <text font-family="SansSerif" font-size="12" text-anchor="middle" x="63" y="80">STK</text>
   3717       <circ-port height="10" pin="150,220" width="10" x="55" y="55"/>
   3718       <circ-port height="8" pin="150,270" width="8" x="76" y="66"/>
   3719       <circ-port height="8" pin="90,300" width="8" x="76" y="76"/>
   3720       <circ-port height="8" pin="90,330" width="8" x="56" y="86"/>
   3721       <circ-port height="8" pin="90,360" width="8" x="66" y="86"/>
   3722       <circ-port height="8" pin="90,390" width="8" x="46" y="76"/>
   3723       <circ-anchor facing="east" height="6" width="6" x="67" y="57"/>
   3724     </appear>
   3725     <wire from="(1280,310)" to="(1390,310)"/>
   3726     <wire from="(1890,290)" to="(1890,320)"/>
   3727     <wire from="(2790,230)" to="(2790,270)"/>
   3728     <wire from="(3500,260)" to="(3510,260)"/>
   3729     <wire from="(3510,270)" to="(3520,270)"/>
   3730     <wire from="(1110,300)" to="(1220,300)"/>
   3731     <wire from="(2800,230)" to="(3010,230)"/>
   3732     <wire from="(1720,280)" to="(1720,310)"/>
   3733     <wire from="(1450,320)" to="(1560,320)"/>
   3734     <wire from="(2470,220)" to="(2680,220)"/>
   3735     <wire from="(450,290)" to="(450,300)"/>
   3736     <wire from="(2240,270)" to="(2260,270)"/>
   3737     <wire from="(1860,260)" to="(1860,270)"/>
   3738     <wire from="(2460,220)" to="(2460,270)"/>
   3739     <wire from="(2230,270)" to="(2240,270)"/>
   3740     <wire from="(990,340)" to="(1100,340)"/>
   3741     <wire from="(2200,280)" to="(2200,340)"/>
   3742     <wire from="(2690,250)" to="(2700,250)"/>
   3743     <wire from="(1040,230)" to="(1250,230)"/>
   3744     <wire from="(3210,320)" to="(3320,320)"/>
   3745     <wire from="(710,220)" to="(920,220)"/>
   3746     <wire from="(1030,230)" to="(1030,270)"/>
   3747     <wire from="(3650,290)" to="(3650,320)"/>
   3748     <wire from="(3480,280)" to="(3480,310)"/>
   3749     <wire from="(480,270)" to="(500,270)"/>
   3750     <wire from="(2210,290)" to="(2210,300)"/>
   3751     <wire from="(1750,270)" to="(1760,270)"/>
   3752     <wire from="(1740,260)" to="(1750,260)"/>
   3753     <wire from="(150,310)" to="(150,360)"/>
   3754     <wire from="(470,270)" to="(480,270)"/>
   3755     <wire from="(700,220)" to="(700,270)"/>
   3756     <wire from="(930,250)" to="(940,250)"/>
   3757     <wire from="(2870,300)" to="(2980,300)"/>
   3758     <wire from="(440,280)" to="(440,340)"/>
   3759     <wire from="(2750,340)" to="(2860,340)"/>
   3760     <wire from="(3620,260)" to="(3620,270)"/>
   3761     <wire from="(3040,310)" to="(3150,310)"/>
   3762     <wire from="(160,320)" to="(160,390)"/>
   3763     <wire from="(3220,270)" to="(3230,270)"/>
   3764     <wire from="(1370,220)" to="(1370,250)"/>
   3765     <wire from="(1980,340)" to="(2090,340)"/>
   3766     <wire from="(3190,280)" to="(3190,340)"/>
   3767     <wire from="(2100,300)" to="(2210,300)"/>
   3768     <wire from="(1700,230)" to="(1700,250)"/>
   3769     <wire from="(1120,290)" to="(1120,320)"/>
   3770     <wire from="(950,280)" to="(950,310)"/>
   3771     <wire from="(220,340)" to="(330,340)"/>
   3772     <wire from="(510,310)" to="(620,310)"/>
   3773     <wire from="(340,300)" to="(450,300)"/>
   3774     <wire from="(680,320)" to="(790,320)"/>
   3775     <wire from="(1090,260)" to="(1090,270)"/>
   3776     <wire from="(3230,270)" to="(3250,270)"/>
   3777     <wire from="(2740,270)" to="(2750,270)"/>
   3778     <wire from="(1440,290)" to="(1440,300)"/>
   3779     <wire from="(2730,260)" to="(2740,260)"/>
   3780     <wire from="(3460,230)" to="(3460,250)"/>
   3781     <wire from="(1460,270)" to="(1470,270)"/>
   3782     <wire from="(2850,260)" to="(2850,270)"/>
   3783     <wire from="(1430,280)" to="(1430,340)"/>
   3784     <wire from="(1920,250)" to="(1930,250)"/>
   3785     <wire from="(2270,310)" to="(2380,310)"/>
   3786     <wire from="(3130,220)" to="(3130,250)"/>
   3787     <wire from="(160,250)" to="(170,250)"/>
   3788     <wire from="(2880,290)" to="(2880,320)"/>
   3789     <wire from="(2440,320)" to="(2550,320)"/>
   3790     <wire from="(2710,280)" to="(2710,310)"/>
   3791     <wire from="(970,260)" to="(980,260)"/>
   3792     <wire from="(980,270)" to="(990,270)"/>
   3793     <wire from="(3200,290)" to="(3200,300)"/>
   3794     <wire from="(1470,270)" to="(1490,270)"/>
   3795     <wire from="(670,290)" to="(670,300)"/>
   3796     <wire from="(1210,340)" to="(1320,340)"/>
   3797     <wire from="(1940,280)" to="(1940,310)"/>
   3798     <wire from="(3020,230)" to="(3230,230)"/>
   3799     <wire from="(1500,310)" to="(1610,310)"/>
   3800     <wire from="(3010,230)" to="(3010,270)"/>
   3801     <wire from="(1330,300)" to="(1440,300)"/>
   3802     <wire from="(2690,220)" to="(2900,220)"/>
   3803     <wire from="(2460,270)" to="(2480,270)"/>
   3804     <wire from="(1670,320)" to="(1780,320)"/>
   3805     <wire from="(320,260)" to="(320,270)"/>
   3806     <wire from="(2450,270)" to="(2460,270)"/>
   3807     <wire from="(2080,260)" to="(2080,270)"/>
   3808     <wire from="(2420,280)" to="(2420,340)"/>
   3809     <wire from="(2910,250)" to="(2920,250)"/>
   3810     <wire from="(2680,220)" to="(2680,270)"/>
   3811     <wire from="(350,290)" to="(350,320)"/>
   3812     <wire from="(180,280)" to="(180,310)"/>
   3813     <wire from="(930,220)" to="(1140,220)"/>
   3814     <wire from="(3260,310)" to="(3370,310)"/>
   3815     <wire from="(3430,320)" to="(3540,320)"/>
   3816     <wire from="(700,270)" to="(720,270)"/>
   3817     <wire from="(1150,250)" to="(1160,250)"/>
   3818     <wire from="(1970,270)" to="(1980,270)"/>
   3819     <wire from="(1960,260)" to="(1970,260)"/>
   3820     <wire from="(2430,290)" to="(2430,300)"/>
   3821     <wire from="(200,260)" to="(210,260)"/>
   3822     <wire from="(210,270)" to="(220,270)"/>
   3823     <wire from="(660,280)" to="(660,340)"/>
   3824     <wire from="(1250,230)" to="(1250,270)"/>
   3825     <wire from="(3090,300)" to="(3200,300)"/>
   3826     <wire from="(690,270)" to="(700,270)"/>
   3827     <wire from="(920,220)" to="(920,270)"/>
   3828     <wire from="(1260,230)" to="(1470,230)"/>
   3829     <wire from="(2110,290)" to="(2110,320)"/>
   3830     <wire from="(2970,340)" to="(3080,340)"/>
   3831     <wire from="(3440,270)" to="(3450,270)"/>
   3832     <wire from="(1590,220)" to="(1590,250)"/>
   3833     <wire from="(1920,230)" to="(1920,250)"/>
   3834     <wire from="(1340,290)" to="(1340,320)"/>
   3835     <wire from="(1170,280)" to="(1170,310)"/>
   3836     <wire from="(3410,280)" to="(3410,340)"/>
   3837     <wire from="(1660,290)" to="(1660,300)"/>
   3838     <wire from="(440,340)" to="(550,340)"/>
   3839     <wire from="(160,230)" to="(160,250)"/>
   3840     <wire from="(2140,250)" to="(2150,250)"/>
   3841     <wire from="(3450,270)" to="(3470,270)"/>
   3842     <wire from="(1310,260)" to="(1310,270)"/>
   3843     <wire from="(730,310)" to="(840,310)"/>
   3844     <wire from="(2960,270)" to="(2970,270)"/>
   3845     <wire from="(2950,260)" to="(2960,260)"/>
   3846     <wire from="(560,300)" to="(670,300)"/>
   3847     <wire from="(900,320)" to="(1010,320)"/>
   3848     <wire from="(1200,270)" to="(1210,270)"/>
   3849     <wire from="(3070,260)" to="(3070,270)"/>
   3850     <wire from="(1190,260)" to="(1200,260)"/>
   3851     <wire from="(1650,280)" to="(1650,340)"/>
   3852     <wire from="(3350,220)" to="(3350,250)"/>
   3853     <wire from="(1680,270)" to="(1690,270)"/>
   3854     <wire from="(3100,290)" to="(3100,320)"/>
   3855     <wire from="(2320,300)" to="(2430,300)"/>
   3856     <wire from="(2930,280)" to="(2930,310)"/>
   3857     <wire from="(380,250)" to="(390,250)"/>
   3858     <wire from="(2200,340)" to="(2310,340)"/>
   3859     <wire from="(1690,270)" to="(1710,270)"/>
   3860     <wire from="(2490,310)" to="(2600,310)"/>
   3861     <wire from="(3420,290)" to="(3420,300)"/>
   3862     <wire from="(2660,320)" to="(2770,320)"/>
   3863     <wire from="(540,260)" to="(540,270)"/>
   3864     <wire from="(2910,220)" to="(3120,220)"/>
   3865     <wire from="(2680,270)" to="(2700,270)"/>
   3866     <wire from="(1550,300)" to="(1660,300)"/>
   3867     <wire from="(890,290)" to="(890,300)"/>
   3868     <wire from="(1430,340)" to="(1540,340)"/>
   3869     <wire from="(1720,310)" to="(1830,310)"/>
   3870     <wire from="(1890,320)" to="(2000,320)"/>
   3871     <wire from="(2640,280)" to="(2640,340)"/>
   3872     <wire from="(570,290)" to="(570,320)"/>
   3873     <wire from="(2190,270)" to="(2200,270)"/>
   3874     <wire from="(2180,260)" to="(2190,260)"/>
   3875     <wire from="(400,280)" to="(400,310)"/>
   3876     <wire from="(3230,230)" to="(3230,270)"/>
   3877     <wire from="(3130,250)" to="(3140,250)"/>
   3878     <wire from="(2670,270)" to="(2680,270)"/>
   3879     <wire from="(2900,220)" to="(2900,270)"/>
   3880     <wire from="(3240,230)" to="(3450,230)"/>
   3881     <wire from="(3310,300)" to="(3420,300)"/>
   3882     <wire from="(1370,250)" to="(1380,250)"/>
   3883     <wire from="(920,270)" to="(940,270)"/>
   3884     <wire from="(2650,290)" to="(2650,300)"/>
   3885     <wire from="(3190,340)" to="(3300,340)"/>
   3886     <wire from="(1140,220)" to="(1140,270)"/>
   3887     <wire from="(130,340)" to="(220,340)"/>
   3888     <wire from="(3480,310)" to="(3590,310)"/>
   3889     <wire from="(2300,260)" to="(2300,270)"/>
   3890     <wire from="(430,270)" to="(440,270)"/>
   3891     <wire from="(420,260)" to="(430,260)"/>
   3892     <wire from="(880,280)" to="(880,340)"/>
   3893     <wire from="(1480,230)" to="(1690,230)"/>
   3894     <wire from="(910,270)" to="(920,270)"/>
   3895     <wire from="(2330,290)" to="(2330,320)"/>
   3896     <wire from="(1470,230)" to="(1470,270)"/>
   3897     <wire from="(2160,280)" to="(2160,310)"/>
   3898     <wire from="(1150,220)" to="(1360,220)"/>
   3899     <wire from="(3660,270)" to="(3670,270)"/>
   3900     <wire from="(1120,320)" to="(1230,320)"/>
   3901     <wire from="(1810,220)" to="(1810,250)"/>
   3902     <wire from="(3180,270)" to="(3190,270)"/>
   3903     <wire from="(3170,260)" to="(3180,260)"/>
   3904     <wire from="(1560,290)" to="(1560,320)"/>
   3905     <wire from="(1390,280)" to="(1390,310)"/>
   3906     <wire from="(3630,280)" to="(3630,340)"/>
   3907     <wire from="(380,230)" to="(380,250)"/>
   3908     <wire from="(2360,250)" to="(2370,250)"/>
   3909     <wire from="(1880,290)" to="(1880,300)"/>
   3910     <wire from="(660,340)" to="(770,340)"/>
   3911     <wire from="(950,310)" to="(1060,310)"/>
   3912     <wire from="(1530,260)" to="(1530,270)"/>
   3913     <wire from="(780,300)" to="(890,300)"/>
   3914     <wire from="(1870,280)" to="(1870,340)"/>
   3915     <wire from="(1410,260)" to="(1420,260)"/>
   3916     <wire from="(1420,270)" to="(1430,270)"/>
   3917     <wire from="(3570,220)" to="(3570,250)"/>
   3918     <wire from="(3570,220)" to="(3670,220)"/>
   3919     <wire from="(1900,270)" to="(1910,270)"/>
   3920     <wire from="(3320,290)" to="(3320,320)"/>
   3921     <wire from="(150,270)" to="(170,270)"/>
   3922     <wire from="(3150,280)" to="(3150,310)"/>
   3923     <wire from="(1910,270)" to="(1930,270)"/>
   3924     <wire from="(2540,300)" to="(2650,300)"/>
   3925     <wire from="(2140,230)" to="(2140,250)"/>
   3926     <wire from="(2420,340)" to="(2530,340)"/>
   3927     <wire from="(2880,320)" to="(2990,320)"/>
   3928     <wire from="(2710,310)" to="(2820,310)"/>
   3929     <wire from="(3290,260)" to="(3290,270)"/>
   3930     <wire from="(3640,290)" to="(3640,300)"/>
   3931     <wire from="(600,250)" to="(610,250)"/>
   3932     <wire from="(2900,270)" to="(2920,270)"/>
   3933     <wire from="(760,260)" to="(760,270)"/>
   3934     <wire from="(3130,220)" to="(3340,220)"/>
   3935     <wire from="(3350,250)" to="(3360,250)"/>
   3936     <wire from="(1770,300)" to="(1880,300)"/>
   3937     <wire from="(1650,340)" to="(1760,340)"/>
   3938     <wire from="(1940,310)" to="(2050,310)"/>
   3939     <wire from="(180,310)" to="(290,310)"/>
   3940     <wire from="(2400,260)" to="(2410,260)"/>
   3941     <wire from="(790,290)" to="(790,320)"/>
   3942     <wire from="(2410,270)" to="(2420,270)"/>
   3943     <wire from="(620,280)" to="(620,310)"/>
   3944     <wire from="(350,320)" to="(460,320)"/>
   3945     <wire from="(2860,280)" to="(2860,340)"/>
   3946     <wire from="(1110,290)" to="(1110,300)"/>
   3947     <wire from="(3460,230)" to="(3670,230)"/>
   3948     <wire from="(3450,230)" to="(3450,270)"/>
   3949     <wire from="(3120,220)" to="(3120,270)"/>
   3950     <wire from="(2890,270)" to="(2900,270)"/>
   3951     <wire from="(2870,290)" to="(2870,300)"/>
   3952     <wire from="(3410,340)" to="(3520,340)"/>
   3953     <wire from="(1360,220)" to="(1360,270)"/>
   3954     <wire from="(1130,270)" to="(1140,270)"/>
   3955     <wire from="(3530,300)" to="(3640,300)"/>
   3956     <wire from="(2520,260)" to="(2520,270)"/>
   3957     <wire from="(1100,280)" to="(1100,340)"/>
   3958     <wire from="(1590,250)" to="(1600,250)"/>
   3959     <wire from="(3630,340)" to="(3670,340)"/>
   3960     <wire from="(1690,230)" to="(1690,270)"/>
   3961     <wire from="(2110,320)" to="(2220,320)"/>
   3962     <wire from="(160,320)" to="(240,320)"/>
   3963     <wire from="(1700,230)" to="(1910,230)"/>
   3964     <wire from="(2550,290)" to="(2550,320)"/>
   3965     <wire from="(2380,280)" to="(2380,310)"/>
   3966     <wire from="(1370,220)" to="(1580,220)"/>
   3967     <wire from="(1140,270)" to="(1160,270)"/>
   3968     <wire from="(650,270)" to="(660,270)"/>
   3969     <wire from="(640,260)" to="(650,260)"/>
   3970     <wire from="(2030,220)" to="(2030,250)"/>
   3971     <wire from="(1170,310)" to="(1280,310)"/>
   3972     <wire from="(3400,270)" to="(3410,270)"/>
   3973     <wire from="(1780,290)" to="(1780,320)"/>
   3974     <wire from="(3390,260)" to="(3400,260)"/>
   3975     <wire from="(1610,280)" to="(1610,310)"/>
   3976     <wire from="(1340,320)" to="(1450,320)"/>
   3977     <wire from="(2130,270)" to="(2150,270)"/>
   3978     <wire from="(340,290)" to="(340,300)"/>
   3979     <wire from="(1750,260)" to="(1750,270)"/>
   3980     <wire from="(2580,250)" to="(2590,250)"/>
   3981     <wire from="(2100,290)" to="(2100,300)"/>
   3982     <wire from="(2120,270)" to="(2130,270)"/>
   3983     <wire from="(270,220)" to="(270,250)"/>
   3984     <wire from="(600,230)" to="(600,250)"/>
   3985     <wire from="(1000,300)" to="(1110,300)"/>
   3986     <wire from="(880,340)" to="(990,340)"/>
   3987     <wire from="(2090,280)" to="(2090,340)"/>
   3988     <wire from="(370,270)" to="(390,270)"/>
   3989     <wire from="(3540,290)" to="(3540,320)"/>
   3990     <wire from="(3370,280)" to="(3370,310)"/>
   3991     <wire from="(1630,260)" to="(1640,260)"/>
   3992     <wire from="(1640,270)" to="(1650,270)"/>
   3993     <wire from="(360,270)" to="(370,270)"/>
   3994     <wire from="(2360,230)" to="(2360,250)"/>
   3995     <wire from="(330,280)" to="(330,340)"/>
   3996     <wire from="(820,250)" to="(830,250)"/>
   3997     <wire from="(2640,340)" to="(2750,340)"/>
   3998     <wire from="(2760,300)" to="(2870,300)"/>
   3999     <wire from="(90,390)" to="(160,390)"/>
   4000     <wire from="(3100,320)" to="(3210,320)"/>
   4001     <wire from="(2930,310)" to="(3040,310)"/>
   4002     <wire from="(3510,260)" to="(3510,270)"/>
   4003     <wire from="(3340,220)" to="(3340,270)"/>
   4004     <wire from="(3570,250)" to="(3580,250)"/>
   4005     <wire from="(3120,270)" to="(3140,270)"/>
   4006     <wire from="(980,260)" to="(980,270)"/>
   4007     <wire from="(1990,300)" to="(2100,300)"/>
   4008     <wire from="(1870,340)" to="(1980,340)"/>
   4009     <wire from="(150,220)" to="(260,220)"/>
   4010     <wire from="(2630,270)" to="(2640,270)"/>
   4011     <wire from="(1010,290)" to="(1010,320)"/>
   4012     <wire from="(2620,260)" to="(2630,260)"/>
   4013     <wire from="(230,300)" to="(340,300)"/>
   4014     <wire from="(840,280)" to="(840,310)"/>
   4015     <wire from="(3080,280)" to="(3080,340)"/>
   4016     <wire from="(400,310)" to="(510,310)"/>
   4017     <wire from="(3640,300)" to="(3670,300)"/>
   4018     <wire from="(3110,270)" to="(3120,270)"/>
   4019     <wire from="(570,320)" to="(680,320)"/>
   4020     <wire from="(3650,320)" to="(3670,320)"/>
   4021     <wire from="(3350,220)" to="(3560,220)"/>
   4022     <wire from="(1330,290)" to="(1330,300)"/>
   4023     <wire from="(3670,230)" to="(3670,270)"/>
   4024     <wire from="(1350,270)" to="(1360,270)"/>
   4025     <wire from="(2740,260)" to="(2740,270)"/>
   4026     <wire from="(3090,290)" to="(3090,300)"/>
   4027     <wire from="(1320,280)" to="(1320,340)"/>
   4028     <wire from="(1580,220)" to="(1580,270)"/>
   4029     <wire from="(160,230)" to="(370,230)"/>
   4030     <wire from="(1810,250)" to="(1820,250)"/>
   4031     <wire from="(2330,320)" to="(2440,320)"/>
   4032     <wire from="(2160,310)" to="(2270,310)"/>
   4033     <wire from="(1920,230)" to="(2130,230)"/>
   4034     <wire from="(2770,290)" to="(2770,320)"/>
   4035     <wire from="(1910,230)" to="(1910,270)"/>
   4036     <wire from="(1590,220)" to="(1800,220)"/>
   4037     <wire from="(1360,270)" to="(1380,270)"/>
   4038     <wire from="(2600,280)" to="(2600,310)"/>
   4039     <wire from="(870,270)" to="(880,270)"/>
   4040     <wire from="(860,260)" to="(870,260)"/>
   4041     <wire from="(1220,300)" to="(1330,300)"/>
   4042     <wire from="(3610,260)" to="(3620,260)"/>
   4043     <wire from="(2000,290)" to="(2000,320)"/>
   4044     <wire from="(3620,270)" to="(3630,270)"/>
   4045     <wire from="(560,290)" to="(560,300)"/>
   4046     <wire from="(1100,340)" to="(1210,340)"/>
   4047     <wire from="(1830,280)" to="(1830,310)"/>
   4048     <wire from="(1560,320)" to="(1670,320)"/>
   4049     <wire from="(1390,310)" to="(1500,310)"/>
   4050     <wire from="(210,260)" to="(210,270)"/>
   4051     <wire from="(2350,270)" to="(2370,270)"/>
   4052     <wire from="(2340,270)" to="(2350,270)"/>
   4053     <wire from="(1970,260)" to="(1970,270)"/>
   4054     <wire from="(820,230)" to="(820,250)"/>
   4055     <wire from="(490,220)" to="(490,250)"/>
   4056     <wire from="(2800,250)" to="(2810,250)"/>
   4057     <wire from="(240,290)" to="(240,320)"/>
   4058     <wire from="(2310,280)" to="(2310,340)"/>
   4059     <wire from="(3320,320)" to="(3430,320)"/>
   4060     <wire from="(590,270)" to="(610,270)"/>
   4061     <wire from="(3590,280)" to="(3590,310)"/>
   4062     <wire from="(2320,290)" to="(2320,300)"/>
   4063     <wire from="(1850,260)" to="(1860,260)"/>
   4064     <wire from="(1860,270)" to="(1870,270)"/>
   4065     <wire from="(2580,230)" to="(2580,250)"/>
   4066     <wire from="(550,280)" to="(550,340)"/>
   4067     <wire from="(1040,250)" to="(1050,250)"/>
   4068     <wire from="(2860,340)" to="(2970,340)"/>
   4069     <wire from="(2250,220)" to="(2250,250)"/>
   4070     <wire from="(3150,310)" to="(3260,310)"/>
   4071     <wire from="(580,270)" to="(590,270)"/>
   4072     <wire from="(2980,300)" to="(3090,300)"/>
   4073     <wire from="(3330,270)" to="(3340,270)"/>
   4074     <wire from="(3560,220)" to="(3560,270)"/>
   4075     <wire from="(2130,230)" to="(2130,270)"/>
   4076     <wire from="(1230,290)" to="(1230,320)"/>
   4077     <wire from="(2090,340)" to="(2200,340)"/>
   4078     <wire from="(2140,230)" to="(2350,230)"/>
   4079     <wire from="(1060,280)" to="(1060,310)"/>
   4080     <wire from="(3300,280)" to="(3300,340)"/>
   4081     <wire from="(100,360)" to="(150,360)"/>
   4082     <wire from="(450,300)" to="(560,300)"/>
   4083     <wire from="(330,340)" to="(440,340)"/>
   4084     <wire from="(1200,260)" to="(1200,270)"/>
   4085     <wire from="(620,310)" to="(730,310)"/>
   4086     <wire from="(1550,290)" to="(1550,300)"/>
   4087     <wire from="(2850,270)" to="(2860,270)"/>
   4088     <wire from="(2840,260)" to="(2850,260)"/>
   4089     <wire from="(790,320)" to="(900,320)"/>
   4090     <wire from="(3340,270)" to="(3360,270)"/>
   4091     <wire from="(1570,270)" to="(1580,270)"/>
   4092     <wire from="(1090,270)" to="(1100,270)"/>
   4093     <wire from="(1080,260)" to="(1090,260)"/>
   4094     <wire from="(2960,260)" to="(2960,270)"/>
   4095     <wire from="(2030,250)" to="(2040,250)"/>
   4096     <wire from="(1800,220)" to="(1800,270)"/>
   4097     <wire from="(380,230)" to="(590,230)"/>
   4098     <wire from="(1540,280)" to="(1540,340)"/>
   4099     <wire from="(370,230)" to="(370,270)"/>
   4100     <wire from="(270,250)" to="(280,250)"/>
   4101     <wire from="(2990,290)" to="(2990,320)"/>
   4102     <wire from="(2210,300)" to="(2320,300)"/>
   4103     <wire from="(2820,280)" to="(2820,310)"/>
   4104     <wire from="(2550,320)" to="(2660,320)"/>
   4105     <wire from="(1580,270)" to="(1600,270)"/>
   4106     <wire from="(1810,220)" to="(2020,220)"/>
   4107     <wire from="(2380,310)" to="(2490,310)"/>
   4108     <wire from="(3310,290)" to="(3310,300)"/>
   4109     <wire from="(780,290)" to="(780,300)"/>
   4110     <wire from="(2050,280)" to="(2050,310)"/>
   4111     <wire from="(1320,340)" to="(1430,340)"/>
   4112     <wire from="(1440,300)" to="(1550,300)"/>
   4113     <wire from="(1780,320)" to="(1890,320)"/>
   4114     <wire from="(1610,310)" to="(1720,310)"/>
   4115     <wire from="(2570,270)" to="(2590,270)"/>
   4116     <wire from="(430,260)" to="(430,270)"/>
   4117     <wire from="(2560,270)" to="(2570,270)"/>
   4118     <wire from="(710,220)" to="(710,250)"/>
   4119     <wire from="(460,290)" to="(460,320)"/>
   4120     <wire from="(290,280)" to="(290,310)"/>
   4121     <wire from="(2530,280)" to="(2530,340)"/>
   4122     <wire from="(1040,230)" to="(1040,250)"/>
   4123     <wire from="(3020,250)" to="(3030,250)"/>
   4124     <wire from="(810,270)" to="(830,270)"/>
   4125     <wire from="(3370,310)" to="(3480,310)"/>
   4126     <wire from="(3200,300)" to="(3310,300)"/>
   4127     <wire from="(1260,250)" to="(1270,250)"/>
   4128     <wire from="(3540,320)" to="(3650,320)"/>
   4129     <wire from="(2080,270)" to="(2090,270)"/>
   4130     <wire from="(2070,260)" to="(2080,260)"/>
   4131     <wire from="(2190,260)" to="(2190,270)"/>
   4132     <wire from="(2540,290)" to="(2540,300)"/>
   4133     <wire from="(320,270)" to="(330,270)"/>
   4134     <wire from="(310,260)" to="(320,260)"/>
   4135     <wire from="(90,360)" to="(100,360)"/>
   4136     <wire from="(770,280)" to="(770,340)"/>
   4137     <wire from="(800,270)" to="(810,270)"/>
   4138     <wire from="(2470,220)" to="(2470,250)"/>
   4139     <wire from="(3080,340)" to="(3190,340)"/>
   4140     <wire from="(2800,230)" to="(2800,250)"/>
   4141     <wire from="(2220,290)" to="(2220,320)"/>
   4142     <wire from="(3550,270)" to="(3560,270)"/>
   4143     <wire from="(1450,290)" to="(1450,320)"/>
   4144     <wire from="(3520,280)" to="(3520,340)"/>
   4145     <wire from="(2350,230)" to="(2350,270)"/>
   4146     <wire from="(2360,230)" to="(2570,230)"/>
   4147     <wire from="(1280,280)" to="(1280,310)"/>
   4148     <wire from="(1770,290)" to="(1770,300)"/>
   4149     <wire from="(2250,250)" to="(2260,250)"/>
   4150     <wire from="(3070,270)" to="(3080,270)"/>
   4151     <wire from="(3060,260)" to="(3070,260)"/>
   4152     <wire from="(670,300)" to="(780,300)"/>
   4153     <wire from="(3560,270)" to="(3580,270)"/>
   4154     <wire from="(550,340)" to="(660,340)"/>
   4155     <wire from="(1010,320)" to="(1120,320)"/>
   4156     <wire from="(840,310)" to="(950,310)"/>
   4157     <wire from="(1420,260)" to="(1420,270)"/>
   4158     <wire from="(590,230)" to="(590,270)"/>
   4159     <wire from="(1300,260)" to="(1310,260)"/>
   4160     <wire from="(1310,270)" to="(1320,270)"/>
   4161     <wire from="(1760,280)" to="(1760,340)"/>
   4162     <wire from="(600,230)" to="(810,230)"/>
   4163     <wire from="(270,220)" to="(480,220)"/>
   4164     <wire from="(2020,220)" to="(2020,270)"/>
   4165     <wire from="(1790,270)" to="(1800,270)"/>
   4166     <wire from="(3210,290)" to="(3210,320)"/>
   4167     <wire from="(2030,220)" to="(2240,220)"/>
   4168     <wire from="(3040,280)" to="(3040,310)"/>
   4169     <wire from="(490,250)" to="(500,250)"/>
   4170     <wire from="(2310,340)" to="(2420,340)"/>
   4171     <wire from="(2600,310)" to="(2710,310)"/>
   4172     <wire from="(90,300)" to="(230,300)"/>
   4173     <wire from="(2430,300)" to="(2540,300)"/>
   4174     <wire from="(260,220)" to="(260,270)"/>
   4175     <wire from="(90,330)" to="(100,330)"/>
   4176     <wire from="(1800,270)" to="(1820,270)"/>
   4177     <wire from="(2770,320)" to="(2880,320)"/>
   4178     <wire from="(3180,260)" to="(3180,270)"/>
   4179     <wire from="(3530,290)" to="(3530,300)"/>
   4180     <wire from="(650,260)" to="(650,270)"/>
   4181     <wire from="(1000,290)" to="(1000,300)"/>
   4182     <wire from="(1540,340)" to="(1650,340)"/>
   4183     <wire from="(2790,270)" to="(2810,270)"/>
   4184     <wire from="(3240,250)" to="(3250,250)"/>
   4185     <wire from="(1260,230)" to="(1260,250)"/>
   4186     <wire from="(1830,310)" to="(1940,310)"/>
   4187     <wire from="(1660,300)" to="(1770,300)"/>
   4188     <wire from="(100,350)" to="(100,360)"/>
   4189     <wire from="(2000,320)" to="(2110,320)"/>
   4190     <wire from="(930,220)" to="(930,250)"/>
   4191     <wire from="(240,320)" to="(350,320)"/>
   4192     <wire from="(2290,260)" to="(2300,260)"/>
   4193     <wire from="(680,290)" to="(680,320)"/>
   4194     <wire from="(2300,270)" to="(2310,270)"/>
   4195     <wire from="(2750,280)" to="(2750,340)"/>
   4196     <wire from="(510,280)" to="(510,310)"/>
   4197     <wire from="(2780,270)" to="(2790,270)"/>
   4198     <wire from="(1480,250)" to="(1490,250)"/>
   4199     <wire from="(3300,340)" to="(3410,340)"/>
   4200     <wire from="(3420,300)" to="(3530,300)"/>
   4201     <wire from="(2760,290)" to="(2760,300)"/>
   4202     <wire from="(1030,270)" to="(1050,270)"/>
   4203     <wire from="(2410,260)" to="(2410,270)"/>
   4204     <wire from="(990,280)" to="(990,340)"/>
   4205     <wire from="(2690,220)" to="(2690,250)"/>
   4206     <wire from="(1020,270)" to="(1030,270)"/>
   4207     <wire from="(3020,230)" to="(3020,250)"/>
   4208     <wire from="(2440,290)" to="(2440,320)"/>
   4209     <wire from="(2270,280)" to="(2270,310)"/>
   4210     <wire from="(530,260)" to="(540,260)"/>
   4211     <wire from="(540,270)" to="(550,270)"/>
   4212     <wire from="(1230,320)" to="(1340,320)"/>
   4213     <wire from="(1060,310)" to="(1170,310)"/>
   4214     <wire from="(3590,310)" to="(3670,310)"/>
   4215     <wire from="(3290,270)" to="(3300,270)"/>
   4216     <wire from="(1670,290)" to="(1670,320)"/>
   4217     <wire from="(3280,260)" to="(3290,260)"/>
   4218     <wire from="(1500,280)" to="(1500,310)"/>
   4219     <wire from="(230,290)" to="(230,300)"/>
   4220     <wire from="(2580,230)" to="(2790,230)"/>
   4221     <wire from="(2250,220)" to="(2460,220)"/>
   4222     <wire from="(2570,230)" to="(2570,270)"/>
   4223     <wire from="(2240,220)" to="(2240,270)"/>
   4224     <wire from="(1640,260)" to="(1640,270)"/>
   4225     <wire from="(2470,250)" to="(2480,250)"/>
   4226     <wire from="(1990,290)" to="(1990,300)"/>
   4227     <wire from="(770,340)" to="(880,340)"/>
   4228     <wire from="(890,300)" to="(1000,300)"/>
   4229     <wire from="(150,310)" to="(180,310)"/>
   4230     <wire from="(1530,270)" to="(1540,270)"/>
   4231     <wire from="(1520,260)" to="(1530,260)"/>
   4232     <wire from="(820,230)" to="(1030,230)"/>
   4233     <wire from="(1980,280)" to="(1980,340)"/>
   4234     <wire from="(810,230)" to="(810,270)"/>
   4235     <wire from="(260,270)" to="(280,270)"/>
   4236     <wire from="(2010,270)" to="(2020,270)"/>
   4237     <wire from="(490,220)" to="(700,220)"/>
   4238     <wire from="(3430,290)" to="(3430,320)"/>
   4239     <wire from="(3260,280)" to="(3260,310)"/>
   4240     <wire from="(2020,270)" to="(2040,270)"/>
   4241     <wire from="(2530,340)" to="(2640,340)"/>
   4242     <wire from="(480,220)" to="(480,270)"/>
   4243     <wire from="(250,270)" to="(260,270)"/>
   4244     <wire from="(2820,310)" to="(2930,310)"/>
   4245     <wire from="(3400,260)" to="(3400,270)"/>
   4246     <wire from="(2650,300)" to="(2760,300)"/>
   4247     <wire from="(710,250)" to="(720,250)"/>
   4248     <wire from="(2990,320)" to="(3100,320)"/>
   4249     <wire from="(220,280)" to="(220,340)"/>
   4250     <wire from="(3010,270)" to="(3030,270)"/>
   4251     <wire from="(3460,250)" to="(3470,250)"/>
   4252     <wire from="(1480,230)" to="(1480,250)"/>
   4253     <wire from="(870,260)" to="(870,270)"/>
   4254     <wire from="(1760,340)" to="(1870,340)"/>
   4255     <wire from="(2050,310)" to="(2160,310)"/>
   4256     <wire from="(1880,300)" to="(1990,300)"/>
   4257     <wire from="(1150,220)" to="(1150,250)"/>
   4258     <wire from="(2510,260)" to="(2520,260)"/>
   4259     <wire from="(2520,270)" to="(2530,270)"/>
   4260     <wire from="(2970,280)" to="(2970,340)"/>
   4261     <wire from="(900,290)" to="(900,320)"/>
   4262     <wire from="(460,320)" to="(570,320)"/>
   4263     <wire from="(730,280)" to="(730,310)"/>
   4264     <wire from="(290,310)" to="(400,310)"/>
   4265     <wire from="(1220,290)" to="(1220,300)"/>
   4266     <wire from="(3000,270)" to="(3010,270)"/>
   4267     <wire from="(1240,270)" to="(1250,270)"/>
   4268     <wire from="(3240,230)" to="(3240,250)"/>
   4269     <wire from="(2980,290)" to="(2980,300)"/>
   4270     <wire from="(3520,340)" to="(3630,340)"/>
   4271     <wire from="(2630,260)" to="(2630,270)"/>
   4272     <wire from="(1210,280)" to="(1210,340)"/>
   4273     <wire from="(1700,250)" to="(1710,250)"/>
   4274     <wire from="(2910,220)" to="(2910,250)"/>
   4275     <wire from="(2220,320)" to="(2330,320)"/>
   4276     <wire from="(2660,290)" to="(2660,320)"/>
   4277     <wire from="(2490,280)" to="(2490,310)"/>
   4278     <wire from="(750,260)" to="(760,260)"/>
   4279     <wire from="(760,270)" to="(770,270)"/>
   4280     <wire from="(1250,270)" to="(1270,270)"/>
   4281     <comp lib="2" loc="(2070,260)" name="Multiplexer">
   4282       <a name="width" val="8"/>
   4283       <a name="enable" val="false"/>
   4284     </comp>
   4285     <comp lib="2" loc="(1740,260)" name="Multiplexer">
   4286       <a name="width" val="8"/>
   4287       <a name="enable" val="false"/>
   4288     </comp>
   4289     <comp lib="4" loc="(1460,270)" name="Register"/>
   4290     <comp lib="4" loc="(1240,270)" name="Register"/>
   4291     <comp lib="2" loc="(3170,260)" name="Multiplexer">
   4292       <a name="width" val="8"/>
   4293       <a name="enable" val="false"/>
   4294     </comp>
   4295     <comp lib="4" loc="(2230,270)" name="Register"/>
   4296     <comp lib="4" loc="(2560,270)" name="Register"/>
   4297     <comp lib="2" loc="(2400,260)" name="Multiplexer">
   4298       <a name="width" val="8"/>
   4299       <a name="enable" val="false"/>
   4300     </comp>
   4301     <comp lib="2" loc="(2730,260)" name="Multiplexer">
   4302       <a name="width" val="8"/>
   4303       <a name="enable" val="false"/>
   4304     </comp>
   4305     <comp lib="4" loc="(1020,270)" name="Register"/>
   4306     <comp lib="2" loc="(1410,260)" name="Multiplexer">
   4307       <a name="width" val="8"/>
   4308       <a name="enable" val="false"/>
   4309     </comp>
   4310     <comp lib="0" loc="(150,220)" name="Pin">
   4311       <a name="output" val="true"/>
   4312       <a name="width" val="8"/>
   4313       <a name="tristate" val="false"/>
   4314       <a name="label" val="OUTPUT"/>
   4315     </comp>
   4316     <comp lib="2" loc="(750,260)" name="Multiplexer">
   4317       <a name="width" val="8"/>
   4318       <a name="enable" val="false"/>
   4319     </comp>
   4320     <comp lib="4" loc="(2010,270)" name="Register"/>
   4321     <comp lib="4" loc="(3110,270)" name="Register"/>
   4322     <comp lib="2" loc="(2510,260)" name="Multiplexer">
   4323       <a name="width" val="8"/>
   4324       <a name="enable" val="false"/>
   4325     </comp>
   4326     <comp lib="4" loc="(3000,270)" name="Register"/>
   4327     <comp lib="4" loc="(360,270)" name="Register"/>
   4328     <comp lib="4" loc="(2780,270)" name="Register"/>
   4329     <comp lib="0" loc="(90,360)" name="Pin">
   4330       <a name="tristate" val="false"/>
   4331       <a name="label" val="PUSH"/>
   4332     </comp>
   4333     <comp lib="2" loc="(3390,260)" name="Multiplexer">
   4334       <a name="width" val="8"/>
   4335       <a name="enable" val="false"/>
   4336     </comp>
   4337     <comp lib="0" loc="(90,390)" name="Pin">
   4338       <a name="tristate" val="false"/>
   4339       <a name="label" val="RST"/>
   4340     </comp>
   4341     <comp lib="2" loc="(1300,260)" name="Multiplexer">
   4342       <a name="width" val="8"/>
   4343       <a name="enable" val="false"/>
   4344     </comp>
   4345     <comp lib="0" loc="(150,270)" name="Pin">
   4346       <a name="width" val="8"/>
   4347       <a name="tristate" val="false"/>
   4348       <a name="label" val="INPUT"/>
   4349     </comp>
   4350     <comp lib="2" loc="(1190,260)" name="Multiplexer">
   4351       <a name="width" val="8"/>
   4352       <a name="enable" val="false"/>
   4353     </comp>
   4354     <comp lib="4" loc="(250,270)" name="Register"/>
   4355     <comp lib="2" loc="(2620,260)" name="Multiplexer">
   4356       <a name="width" val="8"/>
   4357       <a name="enable" val="false"/>
   4358     </comp>
   4359     <comp lib="2" loc="(2290,260)" name="Multiplexer">
   4360       <a name="width" val="8"/>
   4361       <a name="enable" val="false"/>
   4362     </comp>
   4363     <comp lib="4" loc="(2120,270)" name="Register"/>
   4364     <comp lib="2" loc="(530,260)" name="Multiplexer">
   4365       <a name="width" val="8"/>
   4366       <a name="enable" val="false"/>
   4367     </comp>
   4368     <comp lib="4" loc="(800,270)" name="Register"/>
   4369     <comp lib="4" loc="(1900,270)" name="Register"/>
   4370     <comp lib="0" loc="(90,300)" name="Pin">
   4371       <a name="tristate" val="false"/>
   4372       <a name="label" val="CLK"/>
   4373     </comp>
   4374     <comp lib="2" loc="(2180,260)" name="Multiplexer">
   4375       <a name="width" val="8"/>
   4376       <a name="enable" val="false"/>
   4377     </comp>
   4378     <comp lib="4" loc="(690,270)" name="Register"/>
   4379     <comp lib="2" loc="(3280,260)" name="Multiplexer">
   4380       <a name="width" val="8"/>
   4381       <a name="enable" val="false"/>
   4382     </comp>
   4383     <comp lib="4" loc="(1790,270)" name="Register"/>
   4384     <comp lib="4" loc="(580,270)" name="Register"/>
   4385     <comp lib="4" loc="(3220,270)" name="Register"/>
   4386     <comp lib="4" loc="(1350,270)" name="Register"/>
   4387     <comp lib="4" loc="(3660,270)" name="Register"/>
   4388     <comp lib="0" loc="(90,330)" name="Pin">
   4389       <a name="tristate" val="false"/>
   4390       <a name="label" val="POP"/>
   4391     </comp>
   4392     <comp lib="2" loc="(970,260)" name="Multiplexer">
   4393       <a name="width" val="8"/>
   4394       <a name="enable" val="false"/>
   4395     </comp>
   4396     <comp lib="2" loc="(2840,260)" name="Multiplexer">
   4397       <a name="width" val="8"/>
   4398       <a name="enable" val="false"/>
   4399     </comp>
   4400     <comp lib="2" loc="(1850,260)" name="Multiplexer">
   4401       <a name="width" val="8"/>
   4402       <a name="enable" val="false"/>
   4403     </comp>
   4404     <comp lib="2" loc="(640,260)" name="Multiplexer">
   4405       <a name="width" val="8"/>
   4406       <a name="enable" val="false"/>
   4407     </comp>
   4408     <comp lib="4" loc="(470,270)" name="Register"/>
   4409     <comp lib="4" loc="(2450,270)" name="Register"/>
   4410     <comp lib="2" loc="(3500,260)" name="Multiplexer">
   4411       <a name="width" val="8"/>
   4412       <a name="enable" val="false"/>
   4413     </comp>
   4414     <comp lib="4" loc="(1570,270)" name="Register"/>
   4415     <comp lib="4" loc="(3550,270)" name="Register"/>
   4416     <comp lib="4" loc="(1130,270)" name="Register"/>
   4417     <comp lib="2" loc="(1630,260)" name="Multiplexer">
   4418       <a name="width" val="8"/>
   4419       <a name="enable" val="false"/>
   4420     </comp>
   4421     <comp lib="4" loc="(2890,270)" name="Register"/>
   4422     <comp lib="2" loc="(860,260)" name="Multiplexer">
   4423       <a name="width" val="8"/>
   4424       <a name="enable" val="false"/>
   4425     </comp>
   4426     <comp lib="2" loc="(3610,260)" name="Multiplexer">
   4427       <a name="width" val="8"/>
   4428       <a name="enable" val="false"/>
   4429     </comp>
   4430     <comp lib="2" loc="(2950,260)" name="Multiplexer">
   4431       <a name="width" val="8"/>
   4432       <a name="enable" val="false"/>
   4433     </comp>
   4434     <comp lib="4" loc="(1680,270)" name="Register"/>
   4435     <comp lib="2" loc="(420,260)" name="Multiplexer">
   4436       <a name="width" val="8"/>
   4437       <a name="enable" val="false"/>
   4438     </comp>
   4439     <comp lib="2" loc="(3060,260)" name="Multiplexer">
   4440       <a name="width" val="8"/>
   4441       <a name="enable" val="false"/>
   4442     </comp>
   4443     <comp lib="4" loc="(3330,270)" name="Register"/>
   4444     <comp lib="2" loc="(1080,260)" name="Multiplexer">
   4445       <a name="width" val="8"/>
   4446       <a name="enable" val="false"/>
   4447     </comp>
   4448     <comp lib="2" loc="(200,260)" name="Multiplexer">
   4449       <a name="width" val="8"/>
   4450       <a name="enable" val="false"/>
   4451     </comp>
   4452     <comp lib="1" loc="(130,340)" name="OR Gate">
   4453       <a name="size" val="30"/>
   4454       <a name="inputs" val="2"/>
   4455     </comp>
   4456     <comp lib="4" loc="(2670,270)" name="Register"/>
   4457     <comp lib="0" loc="(3670,220)" name="Constant">
   4458       <a name="facing" val="west"/>
   4459       <a name="width" val="8"/>
   4460       <a name="value" val="0x0"/>
   4461     </comp>
   4462     <comp lib="2" loc="(310,260)" name="Multiplexer">
   4463       <a name="width" val="8"/>
   4464       <a name="enable" val="false"/>
   4465     </comp>
   4466     <comp lib="4" loc="(2340,270)" name="Register"/>
   4467     <comp lib="4" loc="(910,270)" name="Register"/>
   4468     <comp lib="2" loc="(1520,260)" name="Multiplexer">
   4469       <a name="width" val="8"/>
   4470       <a name="enable" val="false"/>
   4471     </comp>
   4472     <comp lib="4" loc="(3440,270)" name="Register"/>
   4473     <comp lib="2" loc="(1960,260)" name="Multiplexer">
   4474       <a name="width" val="8"/>
   4475       <a name="enable" val="false"/>
   4476     </comp>
   4477   </circuit>
   4478   <circuit name="PC">
   4479     <a name="circuit" val="PC"/>
   4480     <a name="clabel" val=""/>
   4481     <a name="clabelup" val="east"/>
   4482     <a name="clabelfont" val="SansSerif plain 12"/>
   4483     <appear>
   4484       <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/>
   4485       <text font-family="SansSerif" font-size="12" text-anchor="middle" x="65" y="69">PC</text>
   4486       <circ-port height="8" pin="190,300" width="8" x="56" y="46"/>
   4487       <circ-port height="8" pin="140,430" width="8" x="76" y="56"/>
   4488       <circ-port height="10" pin="360,390" width="10" x="55" y="75"/>
   4489       <circ-port height="8" pin="140,500" width="8" x="46" y="66"/>
   4490       <circ-port height="10" pin="430,330" width="10" x="75" y="65"/>
   4491       <circ-port height="8" pin="140,450" width="8" x="76" y="76"/>
   4492       <circ-port height="8" pin="140,470" width="8" x="66" y="76"/>
   4493       <circ-port height="8" pin="130,360" width="8" x="66" y="46"/>
   4494       <circ-anchor facing="east" height="6" width="6" x="57" y="77"/>
   4495     </appear>
   4496     <wire from="(300,310)" to="(300,380)"/>
   4497     <wire from="(260,320)" to="(260,450)"/>
   4498     <wire from="(270,330)" to="(270,470)"/>
   4499     <wire from="(140,400)" to="(320,400)"/>
   4500     <wire from="(200,270)" to="(370,270)"/>
   4501     <wire from="(200,270)" to="(200,290)"/>
   4502     <wire from="(140,450)" to="(240,450)"/>
   4503     <wire from="(140,430)" to="(230,430)"/>
   4504     <wire from="(230,430)" to="(320,430)"/>
   4505     <wire from="(230,330)" to="(230,430)"/>
   4506     <wire from="(300,310)" to="(320,310)"/>
   4507     <wire from="(300,380)" to="(320,380)"/>
   4508     <wire from="(140,360)" to="(140,400)"/>
   4509     <wire from="(280,330)" to="(280,500)"/>
   4510     <wire from="(190,300)" to="(210,300)"/>
   4511     <wire from="(420,330)" to="(430,330)"/>
   4512     <wire from="(290,310)" to="(300,310)"/>
   4513     <wire from="(360,320)" to="(370,320)"/>
   4514     <wire from="(350,390)" to="(360,390)"/>
   4515     <wire from="(370,320)" to="(380,320)"/>
   4516     <wire from="(140,360)" to="(150,360)"/>
   4517     <wire from="(130,360)" to="(140,360)"/>
   4518     <wire from="(200,290)" to="(210,290)"/>
   4519     <wire from="(250,310)" to="(260,310)"/>
   4520     <wire from="(200,310)" to="(210,310)"/>
   4521     <wire from="(190,370)" to="(200,370)"/>
   4522     <wire from="(140,500)" to="(280,500)"/>
   4523     <wire from="(370,270)" to="(370,320)"/>
   4524     <wire from="(140,470)" to="(270,470)"/>
   4525     <wire from="(200,310)" to="(200,370)"/>
   4526     <comp lib="0" loc="(360,390)" name="Pin">
   4527       <a name="facing" val="west"/>
   4528       <a name="output" val="true"/>
   4529       <a name="width" val="8"/>
   4530       <a name="label" val="ADDRESS"/>
   4531       <a name="labelloc" val="east"/>
   4532     </comp>
   4533     <comp lib="4" loc="(290,310)" name="Register">
   4534       <a name="trigger" val="falling"/>
   4535     </comp>
   4536     <comp lib="0" loc="(320,430)" name="Splitter">
   4537       <a name="facing" val="north"/>
   4538       <a name="appear" val="right"/>
   4539     </comp>
   4540     <comp lib="2" loc="(350,390)" name="Multiplexer">
   4541       <a name="width" val="8"/>
   4542       <a name="disabled" val="0"/>
   4543       <a name="enable" val="false"/>
   4544     </comp>
   4545     <comp lib="0" loc="(320,330)" name="Constant">
   4546       <a name="width" val="8"/>
   4547     </comp>
   4548     <comp lib="0" loc="(140,430)" name="Pin">
   4549       <a name="width" val="2"/>
   4550       <a name="tristate" val="false"/>
   4551       <a name="label" val="Jump Enable"/>
   4552     </comp>
   4553     <comp lib="0" loc="(140,500)" name="Pin">
   4554       <a name="tristate" val="false"/>
   4555     </comp>
   4556     <comp lib="3" loc="(420,330)" name="Adder"/>
   4557     <comp lib="0" loc="(430,330)" name="Pin">
   4558       <a name="facing" val="west"/>
   4559       <a name="output" val="true"/>
   4560       <a name="width" val="8"/>
   4561       <a name="label" val="ADDRESS+1"/>
   4562       <a name="labelloc" val="east"/>
   4563     </comp>
   4564     <comp lib="2" loc="(250,310)" name="Multiplexer">
   4565       <a name="select" val="2"/>
   4566       <a name="width" val="8"/>
   4567       <a name="enable" val="false"/>
   4568     </comp>
   4569     <comp lib="0" loc="(140,470)" name="Pin">
   4570       <a name="tristate" val="false"/>
   4571     </comp>
   4572     <comp lib="0" loc="(150,380)" name="Constant">
   4573       <a name="width" val="8"/>
   4574     </comp>
   4575     <comp lib="0" loc="(140,450)" name="Pin">
   4576       <a name="tristate" val="false"/>
   4577     </comp>
   4578     <comp lib="0" loc="(190,300)" name="Pin">
   4579       <a name="width" val="8"/>
   4580       <a name="tristate" val="false"/>
   4581       <a name="label" val="DATA"/>
   4582     </comp>
   4583     <comp lib="0" loc="(380,340)" name="Constant">
   4584       <a name="width" val="8"/>
   4585     </comp>
   4586     <comp lib="3" loc="(360,320)" name="Adder"/>
   4587     <comp lib="0" loc="(130,360)" name="Pin">
   4588       <a name="width" val="8"/>
   4589       <a name="tristate" val="false"/>
   4590       <a name="label" val="ALU"/>
   4591     </comp>
   4592     <comp lib="3" loc="(190,370)" name="Adder"/>
   4593     <comp lib="1" loc="(260,450)" name="NOT Gate">
   4594       <a name="size" val="20"/>
   4595     </comp>
   4596   </circuit>
   4597   <circuit name="ExampleConfigurationROM">
   4598     <a name="circuit" val="ExampleConfigurationROM"/>
   4599     <a name="clabel" val=""/>
   4600     <a name="clabelup" val="east"/>
   4601     <a name="clabelfont" val="SansSerif plain 12"/>
   4602     <wire from="(660,700)" to="(660,710)"/>
   4603     <wire from="(460,330)" to="(710,330)"/>
   4604     <wire from="(600,360)" to="(600,370)"/>
   4605     <wire from="(480,560)" to="(480,820)"/>
   4606     <wire from="(220,210)" to="(470,210)"/>
   4607     <wire from="(480,170)" to="(850,170)"/>
   4608     <wire from="(470,210)" to="(470,350)"/>
   4609     <wire from="(480,420)" to="(480,560)"/>
   4610     <wire from="(460,470)" to="(640,470)"/>
   4611     <wire from="(470,50)" to="(640,50)"/>
   4612     <wire from="(850,810)" to="(850,840)"/>
   4613     <wire from="(470,30)" to="(470,50)"/>
   4614     <wire from="(440,550)" to="(550,550)"/>
   4615     <wire from="(710,750)" to="(710,780)"/>
   4616     <wire from="(720,360)" to="(720,390)"/>
   4617     <wire from="(320,880)" to="(420,880)"/>
   4618     <wire from="(530,90)" to="(530,110)"/>
   4619     <wire from="(430,150)" to="(430,430)"/>
   4620     <wire from="(650,730)" to="(650,770)"/>
   4621     <wire from="(830,20)" to="(830,130)"/>
   4622     <wire from="(710,710)" to="(710,750)"/>
   4623     <wire from="(580,90)" to="(600,90)"/>
   4624     <wire from="(470,490)" to="(500,490)"/>
   4625     <wire from="(460,920)" to="(490,920)"/>
   4626     <wire from="(450,130)" to="(450,940)"/>
   4627     <wire from="(830,130)" to="(830,290)"/>
   4628     <wire from="(350,510)" to="(500,510)"/>
   4629     <wire from="(470,30)" to="(480,30)"/>
   4630     <wire from="(470,70)" to="(480,70)"/>
   4631     <wire from="(410,10)" to="(410,60)"/>
   4632     <wire from="(650,770)" to="(650,830)"/>
   4633     <wire from="(660,60)" to="(660,120)"/>
   4634     <wire from="(380,290)" to="(830,290)"/>
   4635     <wire from="(410,400)" to="(670,400)"/>
   4636     <wire from="(540,100)" to="(540,150)"/>
   4637     <wire from="(860,780)" to="(870,780)"/>
   4638     <wire from="(320,940)" to="(450,940)"/>
   4639     <wire from="(480,170)" to="(480,420)"/>
   4640     <wire from="(540,100)" to="(550,100)"/>
   4641     <wire from="(590,510)" to="(600,510)"/>
   4642     <wire from="(600,360)" to="(610,360)"/>
   4643     <wire from="(220,170)" to="(480,170)"/>
   4644     <wire from="(700,510)" to="(700,520)"/>
   4645     <wire from="(430,830)" to="(430,900)"/>
   4646     <wire from="(750,720)" to="(750,730)"/>
   4647     <wire from="(740,510)" to="(740,520)"/>
   4648     <wire from="(410,540)" to="(410,800)"/>
   4649     <wire from="(460,470)" to="(460,730)"/>
   4650     <wire from="(420,790)" to="(610,790)"/>
   4651     <wire from="(420,230)" to="(610,230)"/>
   4652     <wire from="(640,370)" to="(690,370)"/>
   4653     <wire from="(410,400)" to="(410,540)"/>
   4654     <wire from="(430,570)" to="(610,570)"/>
   4655     <wire from="(460,330)" to="(460,470)"/>
   4656     <wire from="(410,800)" to="(720,800)"/>
   4657     <wire from="(580,20)" to="(830,20)"/>
   4658     <wire from="(700,760)" to="(740,760)"/>
   4659     <wire from="(700,720)" to="(740,720)"/>
   4660     <wire from="(850,140)" to="(850,170)"/>
   4661     <wire from="(220,190)" to="(460,190)"/>
   4662     <wire from="(430,150)" to="(540,150)"/>
   4663     <wire from="(600,410)" to="(700,410)"/>
   4664     <wire from="(550,520)" to="(550,550)"/>
   4665     <wire from="(670,520)" to="(670,540)"/>
   4666     <wire from="(370,450)" to="(370,550)"/>
   4667     <wire from="(600,410)" to="(600,450)"/>
   4668     <wire from="(600,770)" to="(600,810)"/>
   4669     <wire from="(600,370)" to="(600,410)"/>
   4670     <wire from="(660,710)" to="(660,750)"/>
   4671     <wire from="(380,450)" to="(600,450)"/>
   4672     <wire from="(670,360)" to="(670,400)"/>
   4673     <wire from="(320,860)" to="(410,860)"/>
   4674     <wire from="(750,760)" to="(750,800)"/>
   4675     <wire from="(540,500)" to="(560,500)"/>
   4676     <wire from="(530,90)" to="(550,90)"/>
   4677     <wire from="(650,730)" to="(670,730)"/>
   4678     <wire from="(650,770)" to="(670,770)"/>
   4679     <wire from="(710,710)" to="(730,710)"/>
   4680     <wire from="(710,750)" to="(730,750)"/>
   4681     <wire from="(410,250)" to="(620,250)"/>
   4682     <wire from="(700,410)" to="(730,410)"/>
   4683     <wire from="(850,60)" to="(850,120)"/>
   4684     <wire from="(360,450)" to="(370,450)"/>
   4685     <wire from="(360,290)" to="(370,290)"/>
   4686     <wire from="(460,730)" to="(460,920)"/>
   4687     <wire from="(610,380)" to="(610,430)"/>
   4688     <wire from="(220,230)" to="(420,230)"/>
   4689     <wire from="(660,750)" to="(670,750)"/>
   4690     <wire from="(410,10)" to="(480,10)"/>
   4691     <wire from="(670,360)" to="(680,360)"/>
   4692     <wire from="(710,360)" to="(720,360)"/>
   4693     <wire from="(550,520)" to="(560,520)"/>
   4694     <wire from="(590,80)" to="(600,80)"/>
   4695     <wire from="(600,770)" to="(610,770)"/>
   4696     <wire from="(370,590)" to="(370,840)"/>
   4697     <wire from="(630,80)" to="(640,80)"/>
   4698     <wire from="(660,520)" to="(660,530)"/>
   4699     <wire from="(430,570)" to="(430,830)"/>
   4700     <wire from="(800,60)" to="(850,60)"/>
   4701     <wire from="(480,820)" to="(860,820)"/>
   4702     <wire from="(470,490)" to="(470,750)"/>
   4703     <wire from="(600,500)" to="(600,510)"/>
   4704     <wire from="(680,700)" to="(730,700)"/>
   4705     <wire from="(370,130)" to="(370,260)"/>
   4706     <wire from="(370,290)" to="(370,420)"/>
   4707     <wire from="(430,90)" to="(480,90)"/>
   4708     <wire from="(430,430)" to="(430,570)"/>
   4709     <wire from="(470,70)" to="(470,210)"/>
   4710     <wire from="(470,350)" to="(470,490)"/>
   4711     <wire from="(470,750)" to="(470,890)"/>
   4712     <wire from="(460,730)" to="(640,730)"/>
   4713     <wire from="(720,730)" to="(720,800)"/>
   4714     <wire from="(320,920)" to="(440,920)"/>
   4715     <wire from="(600,810)" to="(850,810)"/>
   4716     <wire from="(360,580)" to="(360,590)"/>
   4717     <wire from="(410,250)" to="(410,400)"/>
   4718     <wire from="(420,390)" to="(720,390)"/>
   4719     <wire from="(470,50)" to="(470,70)"/>
   4720     <wire from="(440,410)" to="(550,410)"/>
   4721     <wire from="(440,810)" to="(550,810)"/>
   4722     <wire from="(420,790)" to="(420,880)"/>
   4723     <wire from="(640,60)" to="(640,80)"/>
   4724     <wire from="(710,330)" to="(710,350)"/>
   4725     <wire from="(620,100)" to="(620,250)"/>
   4726     <wire from="(440,110)" to="(530,110)"/>
   4727     <wire from="(680,500)" to="(680,540)"/>
   4728     <wire from="(380,580)" to="(600,580)"/>
   4729     <wire from="(430,830)" to="(650,830)"/>
   4730     <wire from="(470,350)" to="(500,350)"/>
   4731     <wire from="(470,750)" to="(500,750)"/>
   4732     <wire from="(520,80)" to="(550,80)"/>
   4733     <wire from="(590,80)" to="(590,190)"/>
   4734     <wire from="(440,810)" to="(440,920)"/>
   4735     <wire from="(720,800)" to="(750,800)"/>
   4736     <wire from="(220,150)" to="(430,150)"/>
   4737     <wire from="(350,770)" to="(500,770)"/>
   4738     <wire from="(350,370)" to="(500,370)"/>
   4739     <wire from="(480,820)" to="(480,860)"/>
   4740     <wire from="(480,860)" to="(490,860)"/>
   4741     <wire from="(530,30)" to="(530,90)"/>
   4742     <wire from="(370,130)" to="(450,130)"/>
   4743     <wire from="(410,540)" to="(670,540)"/>
   4744     <wire from="(830,130)" to="(840,130)"/>
   4745     <wire from="(680,540)" to="(690,540)"/>
   4746     <wire from="(530,30)" to="(540,30)"/>
   4747     <wire from="(600,500)" to="(610,500)"/>
   4748     <wire from="(590,370)" to="(600,370)"/>
   4749     <wire from="(640,500)" to="(650,500)"/>
   4750     <wire from="(590,770)" to="(600,770)"/>
   4751     <wire from="(220,250)" to="(410,250)"/>
   4752     <wire from="(440,550)" to="(440,810)"/>
   4753     <wire from="(420,530)" to="(420,790)"/>
   4754     <wire from="(690,320)" to="(740,320)"/>
   4755     <wire from="(440,410)" to="(440,550)"/>
   4756     <wire from="(420,390)" to="(420,530)"/>
   4757     <wire from="(430,430)" to="(610,430)"/>
   4758     <wire from="(460,190)" to="(460,330)"/>
   4759     <wire from="(610,100)" to="(610,230)"/>
   4760     <wire from="(550,780)" to="(550,810)"/>
   4761     <wire from="(550,380)" to="(550,410)"/>
   4762     <wire from="(420,530)" to="(660,530)"/>
   4763     <wire from="(640,470)" to="(640,500)"/>
   4764     <wire from="(320,900)" to="(430,900)"/>
   4765     <wire from="(600,550)" to="(600,580)"/>
   4766     <wire from="(430,60)" to="(430,90)"/>
   4767     <wire from="(740,320)" to="(740,400)"/>
   4768     <wire from="(640,710)" to="(640,730)"/>
   4769     <wire from="(690,320)" to="(690,340)"/>
   4770     <wire from="(600,510)" to="(600,550)"/>
   4771     <wire from="(700,370)" to="(700,410)"/>
   4772     <wire from="(540,360)" to="(560,360)"/>
   4773     <wire from="(540,760)" to="(560,760)"/>
   4774     <wire from="(520,10)" to="(550,10)"/>
   4775     <wire from="(370,840)" to="(850,840)"/>
   4776     <wire from="(420,230)" to="(420,390)"/>
   4777     <wire from="(220,110)" to="(440,110)"/>
   4778     <wire from="(720,730)" to="(750,730)"/>
   4779     <wire from="(220,130)" to="(370,130)"/>
   4780     <wire from="(710,520)" to="(740,520)"/>
   4781     <wire from="(440,110)" to="(440,410)"/>
   4782     <wire from="(470,890)" to="(490,890)"/>
   4783     <wire from="(640,780)" to="(710,780)"/>
   4784     <wire from="(480,560)" to="(810,560)"/>
   4785     <wire from="(600,550)" to="(800,550)"/>
   4786     <wire from="(360,590)" to="(370,590)"/>
   4787     <wire from="(460,190)" to="(590,190)"/>
   4788     <wire from="(410,800)" to="(410,860)"/>
   4789     <wire from="(610,520)" to="(610,570)"/>
   4790     <wire from="(480,420)" to="(740,420)"/>
   4791     <wire from="(550,380)" to="(560,380)"/>
   4792     <wire from="(550,780)" to="(560,780)"/>
   4793     <wire from="(640,510)" to="(650,510)"/>
   4794     <comp loc="(220,130)" name="MCU"/>
   4795     <comp lib="1" loc="(740,420)" name="Controlled Buffer">
   4796       <a name="facing" val="south"/>
   4797       <a name="width" val="8"/>
   4798     </comp>
   4799     <comp lib="6" loc="(330,373)" name="Text">
   4800       <a name="text" val="Memory Mapped I/O Port Address"/>
   4801       <a name="halign" val="right"/>
   4802     </comp>
   4803     <comp lib="0" loc="(430,60)" name="Constant">
   4804       <a name="width" val="8"/>
   4805       <a name="value" val="0xff"/>
   4806     </comp>
   4807     <comp lib="1" loc="(700,760)" name="AND Gate">
   4808       <a name="size" val="30"/>
   4809       <a name="inputs" val="2"/>
   4810     </comp>
   4811     <comp lib="0" loc="(490,860)" name="Probe">
   4812       <a name="facing" val="west"/>
   4813       <a name="radix" val="16"/>
   4814       <a name="label" val="Input"/>
   4815       <a name="labelloc" val="east"/>
   4816       <a name="labelfont" val="SansSerif bold 12"/>
   4817     </comp>
   4818     <comp lib="1" loc="(590,370)" name="AND Gate">
   4819       <a name="size" val="30"/>
   4820       <a name="inputs" val="2"/>
   4821     </comp>
   4822     <comp lib="1" loc="(590,510)" name="AND Gate">
   4823       <a name="size" val="30"/>
   4824       <a name="inputs" val="2"/>
   4825     </comp>
   4826     <comp lib="0" loc="(320,900)" name="Pin">
   4827       <a name="output" val="true"/>
   4828       <a name="label" val="WRITE ACTIVE"/>
   4829     </comp>
   4830     <comp lib="6" loc="(656,608)" name="Text">
   4831       <a name="text" val="Output:"/>
   4832       <a name="font" val="SansSerif bold 16"/>
   4833       <a name="halign" val="left"/>
   4834     </comp>
   4835     <comp lib="0" loc="(350,370)" name="Constant">
   4836       <a name="width" val="8"/>
   4837       <a name="value" val="0xfe"/>
   4838     </comp>
   4839     <comp lib="3" loc="(520,80)" name="Comparator">
   4840       <a name="mode" val="unsigned"/>
   4841     </comp>
   4842     <comp lib="1" loc="(850,140)" name="Controlled Buffer">
   4843       <a name="facing" val="south"/>
   4844       <a name="width" val="8"/>
   4845     </comp>
   4846     <comp lib="0" loc="(320,940)" name="Pin">
   4847       <a name="output" val="true"/>
   4848       <a name="label" val="IO ENABLE"/>
   4849     </comp>
   4850     <comp lib="0" loc="(320,920)" name="Pin">
   4851       <a name="output" val="true"/>
   4852       <a name="label" val="IO ACTIVE"/>
   4853     </comp>
   4854     <comp lib="1" loc="(860,820)" name="Controlled Buffer">
   4855       <a name="facing" val="south"/>
   4856       <a name="width" val="8"/>
   4857     </comp>
   4858     <comp lib="6" loc="(329,514)" name="Text">
   4859       <a name="text" val="Memory Mapped I/O Port Address"/>
   4860       <a name="halign" val="right"/>
   4861     </comp>
   4862     <comp lib="0" loc="(690,540)" name="Splitter">
   4863       <a name="facing" val="north"/>
   4864       <a name="incoming" val="8"/>
   4865       <a name="appear" val="right"/>
   4866       <a name="bit1" val="0"/>
   4867       <a name="bit2" val="0"/>
   4868       <a name="bit3" val="0"/>
   4869       <a name="bit4" val="1"/>
   4870       <a name="bit5" val="1"/>
   4871       <a name="bit6" val="1"/>
   4872       <a name="bit7" val="1"/>
   4873     </comp>
   4874     <comp lib="0" loc="(860,800)" name="Splitter">
   4875       <a name="facing" val="north"/>
   4876       <a name="fanout" val="8"/>
   4877       <a name="incoming" val="8"/>
   4878       <a name="appear" val="right"/>
   4879     </comp>
   4880     <comp lib="0" loc="(660,60)" name="Splitter">
   4881       <a name="facing" val="west"/>
   4882       <a name="incoming" val="16"/>
   4883       <a name="appear" val="center"/>
   4884       <a name="bit1" val="0"/>
   4885       <a name="bit2" val="0"/>
   4886       <a name="bit3" val="0"/>
   4887       <a name="bit4" val="0"/>
   4888       <a name="bit5" val="0"/>
   4889       <a name="bit6" val="0"/>
   4890       <a name="bit7" val="0"/>
   4891       <a name="bit8" val="1"/>
   4892       <a name="bit9" val="1"/>
   4893       <a name="bit10" val="1"/>
   4894       <a name="bit11" val="1"/>
   4895       <a name="bit12" val="1"/>
   4896       <a name="bit13" val="1"/>
   4897       <a name="bit14" val="1"/>
   4898       <a name="bit15" val="1"/>
   4899     </comp>
   4900     <comp lib="4" loc="(800,60)" name="ROM">
   4901       <a name="addrWidth" val="16"/>
   4902       <a name="contents">addr/data: 16 8
   4903 67 0 a 21 65 6d 6f 63
   4904 6c 65 57 a0 83 e4 4b 0
   4905 20 24 a0 83 e4 43 20 c4
   4906 30 47 80 fc 8f a3 a7 db
   4907 83 1e 9b 23 e7 83 36 ab
   4908 bf ab f2 43 6e f7 83 e
   4909 2b db 83 41 83 18 43 20
   4910 f7 83 18 ab 7 10 de 83
   4911 18 43 20 93 10 de 7 9f
   4912 bb f0 8b 5b 0 a 67 6e
   4913 69 70 5 a0 83 bf 20 eb
   4914 83 66 57 0 a 67 6e 6f
   4915 70 a0 83 e4 83 e 73 0
   4916 a 33 32 31 67 6e 69 74
   4917 73 65 74 b a0 83 bf 20
   4918 eb 83 8f 77 0 a 65 76
   4919 69 6c 61 20 65 72 61 20
   4920 65 77 a0 83 e4 83 e 57
   4921 0 a 6f 6f 66 4 a0 83
   4922 bf 20 eb 83 a8 53 0 a
   4923 72 61 62 a0 83 e4 83 e
   4924 5f 0 a 72 61 65 6c 63
   4925 6 a0 83 bf 20 eb 83 e
   4926 47 c fc 8f 8b 83 e 3
   4927 9f 8b 7 9f b7 f0 a7 af
   4928 a3 30 df 83 cf 83 d8 a3
   4929 c7 83 de a7 f0 db 83 cf
   4930 a3 c7 83 df 83 d8 7 84
   4931 3 9f 97 90 a3 84 20 43
   4932 fc 9f a3 d7 83 f1 9b 83
   4933 ea 48 90
   4934 </a>
   4935     </comp>
   4936     <comp lib="1" loc="(700,720)" name="AND Gate">
   4937       <a name="size" val="30"/>
   4938       <a name="inputs" val="2"/>
   4939       <a name="negate0" val="true"/>
   4940     </comp>
   4941     <comp loc="(700,340)" name="STK"/>
   4942     <comp lib="0" loc="(640,710)" name="Splitter">
   4943       <a name="fanout" val="8"/>
   4944       <a name="incoming" val="8"/>
   4945     </comp>
   4946     <comp lib="0" loc="(350,510)" name="Constant">
   4947       <a name="width" val="8"/>
   4948       <a name="value" val="0xfd"/>
   4949     </comp>
   4950     <comp lib="0" loc="(490,920)" name="Probe">
   4951       <a name="facing" val="west"/>
   4952       <a name="radix" val="16"/>
   4953       <a name="label" val="Output"/>
   4954       <a name="labelloc" val="east"/>
   4955       <a name="labelfont" val="SansSerif bold 12"/>
   4956     </comp>
   4957     <comp lib="3" loc="(540,360)" name="Comparator">
   4958       <a name="mode" val="unsigned"/>
   4959     </comp>
   4960     <comp lib="5" loc="(740,510)" name="Hex Digit Display"/>
   4961     <comp lib="6" loc="(330,773)" name="Text">
   4962       <a name="text" val="Memory Mapped I/O Port Address"/>
   4963       <a name="halign" val="right"/>
   4964     </comp>
   4965     <comp lib="4" loc="(680,500)" name="Register"/>
   4966     <comp lib="0" loc="(320,860)" name="Pin">
   4967       <a name="tristate" val="false"/>
   4968       <a name="label" val="RESET"/>
   4969     </comp>
   4970     <comp lib="6" loc="(382,57)" name="Text">
   4971       <a name="halign" val="right"/>
   4972     </comp>
   4973     <comp lib="0" loc="(410,60)" name="Constant">
   4974       <a name="width" val="8"/>
   4975       <a name="value" val="0xfc"/>
   4976     </comp>
   4977     <comp lib="3" loc="(540,760)" name="Comparator">
   4978       <a name="mode" val="unsigned"/>
   4979     </comp>
   4980     <comp lib="1" loc="(640,370)" name="AND Gate">
   4981       <a name="size" val="30"/>
   4982       <a name="inputs" val="2"/>
   4983     </comp>
   4984     <comp lib="1" loc="(640,510)" name="AND Gate">
   4985       <a name="size" val="30"/>
   4986       <a name="inputs" val="2"/>
   4987     </comp>
   4988     <comp lib="1" loc="(370,260)" name="OR Gate">
   4989       <a name="facing" val="north"/>
   4990       <a name="size" val="30"/>
   4991       <a name="inputs" val="2"/>
   4992     </comp>
   4993     <comp lib="1" loc="(580,90)" name="AND Gate">
   4994       <a name="size" val="30"/>
   4995       <a name="inputs" val="3"/>
   4996     </comp>
   4997     <comp lib="5" loc="(730,710)" name="TTY">
   4998       <a name="cols" val="80"/>
   4999     </comp>
   5000     <comp lib="1" loc="(640,780)" name="AND Gate">
   5001       <a name="size" val="30"/>
   5002       <a name="inputs" val="2"/>
   5003     </comp>
   5004     <comp lib="0" loc="(350,770)" name="Constant">
   5005       <a name="width" val="8"/>
   5006       <a name="value" val="0xfc"/>
   5007     </comp>
   5008     <comp lib="1" loc="(860,780)" name="NOT Gate">
   5009       <a name="facing" val="south"/>
   5010       <a name="size" val="20"/>
   5011     </comp>
   5012     <comp lib="6" loc="(658,17)" name="Text">
   5013       <a name="text" val="PROGRAM MEMORY"/>
   5014       <a name="font" val="SansSerif bold 12"/>
   5015       <a name="halign" val="left"/>
   5016     </comp>
   5017     <comp lib="3" loc="(520,20)" name="Comparator">
   5018       <a name="mode" val="unsigned"/>
   5019     </comp>
   5020     <comp lib="0" loc="(320,880)" name="Clock">
   5021       <a name="label" val="CLOCK"/>
   5022     </comp>
   5023     <comp lib="0" loc="(660,120)" name="Probe">
   5024       <a name="facing" val="west"/>
   5025       <a name="label" val="Real Address"/>
   5026       <a name="labelloc" val="east"/>
   5027     </comp>
   5028     <comp lib="5" loc="(730,750)" name="Keyboard">
   5029       <a name="buflen" val="80"/>
   5030       <a name="trigger" val="falling"/>
   5031     </comp>
   5032     <comp lib="1" loc="(580,20)" name="AND Gate">
   5033       <a name="size" val="30"/>
   5034       <a name="inputs" val="2"/>
   5035       <a name="negate1" val="true"/>
   5036     </comp>
   5037     <comp lib="1" loc="(590,770)" name="AND Gate">
   5038       <a name="size" val="30"/>
   5039       <a name="inputs" val="2"/>
   5040     </comp>
   5041     <comp lib="0" loc="(810,540)" name="Pin">
   5042       <a name="facing" val="south"/>
   5043       <a name="width" val="8"/>
   5044       <a name="tristate" val="false"/>
   5045     </comp>
   5046     <comp lib="4" loc="(630,80)" name="Register"/>
   5047     <comp lib="5" loc="(700,510)" name="Hex Digit Display"/>
   5048     <comp lib="0" loc="(490,890)" name="Probe">
   5049       <a name="facing" val="west"/>
   5050       <a name="radix" val="16"/>
   5051       <a name="label" val="Address"/>
   5052       <a name="labelloc" val="east"/>
   5053       <a name="labelfont" val="SansSerif bold 12"/>
   5054     </comp>
   5055     <comp lib="3" loc="(540,500)" name="Comparator">
   5056       <a name="mode" val="unsigned"/>
   5057     </comp>
   5058     <comp lib="6" loc="(883,753)" name="Text">
   5059       <a name="text" val=" ← Keyboard"/>
   5060       <a name="font" val="SansSerif bold 16"/>
   5061       <a name="halign" val="left"/>
   5062     </comp>
   5063     <comp lib="0" loc="(680,700)" name="Splitter">
   5064       <a name="facing" val="west"/>
   5065       <a name="fanout" val="7"/>
   5066       <a name="incoming" val="7"/>
   5067       <a name="appear" val="right"/>
   5068     </comp>
   5069     <comp lib="0" loc="(870,760)" name="Splitter">
   5070       <a name="facing" val="south"/>
   5071       <a name="fanout" val="7"/>
   5072       <a name="incoming" val="7"/>
   5073     </comp>
   5074     <comp lib="1" loc="(810,560)" name="Controlled Buffer">
   5075       <a name="facing" val="south"/>
   5076       <a name="width" val="8"/>
   5077     </comp>
   5078     <comp lib="6" loc="(388,63)" name="Text">
   5079       <a name="text" val="Sets the memory-mapped address range"/>
   5080       <a name="halign" val="right"/>
   5081     </comp>
   5082     <comp lib="1" loc="(370,420)" name="OR Gate">
   5083       <a name="facing" val="north"/>
   5084       <a name="size" val="30"/>
   5085       <a name="inputs" val="2"/>
   5086     </comp>
   5087     <comp lib="1" loc="(370,550)" name="OR Gate">
   5088       <a name="facing" val="north"/>
   5089       <a name="size" val="30"/>
   5090       <a name="inputs" val="2"/>
   5091     </comp>
   5092   </circuit>
   5093 </project>