tisc

Unnamed repository; edit this file 'description' to name the repository.
Log | Files | Refs | README

commit 16c088799e69a26d1a93990c71ca79a46bf456bf
parent 4dbceca943b72044da9981801f4c48db6e3befd5
Author: paul_longtine <paul.longtine@signal-fire.com>
Date:   Fri, 27 Sep 2019 23:31:22 -0400

Implemented tidy formatting for logisim memory load file, greatly improved niceness of tisc CPU

Diffstat:
MCPU/CPU.circ | 12287++++++++++++++++++++++++++++++++++++++++---------------------------------------
MCPU/programs/fibb | 2+-
Mexample_assembly.asm | 1+
Mtisc.c | 28+++++++++++++++++-----------
4 files changed, 6182 insertions(+), 6136 deletions(-)

diff --git a/CPU/CPU.circ b/CPU/CPU.circ @@ -1,6124 +1,6163 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no"?> -<project source="2.7.1" version="1.0"> -This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/). -<lib desc="#Wiring" name="0"> - <tool name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </tool> - </lib> - <lib desc="#Gates" name="1"/> - <lib desc="#Plexers" name="2"/> - <lib desc="#Arithmetic" name="3"/> - <lib desc="#Memory" name="4"> - <tool name="ROM"> - <a name="contents">addr/data: 8 8 -0 -</a> - </tool> - </lib> - <lib desc="#I/O" name="5"/> - <lib desc="#Base" name="6"> - <tool name="Text Tool"> - <a name="text" val=""/> - <a name="font" val="SansSerif plain 12"/> - <a name="halign" val="center"/> - <a name="valign" val="base"/> - </tool> - </lib> - <main name="main"/> - <options> - <a name="gateUndefined" val="ignore"/> - <a name="simlimit" val="1000"/> - <a name="simrand" val="0"/> - </options> - <mappings> - <tool lib="6" map="Button2" name="Menu Tool"/> - <tool lib="6" map="Button3" name="Menu Tool"/> - <tool lib="6" map="Ctrl Button1" name="Menu Tool"/> - </mappings> - <toolbar> - <tool lib="6" name="Poke Tool"/> - <tool lib="6" name="Edit Tool"/> - <tool lib="6" name="Text Tool"> - <a name="text" val=""/> - <a name="font" val="SansSerif plain 12"/> - <a name="halign" val="center"/> - <a name="valign" val="base"/> - </tool> - <sep/> - <tool lib="0" name="Pin"> - <a name="tristate" val="false"/> - </tool> - <tool lib="0" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </tool> - <tool lib="1" name="NOT Gate"/> - <tool lib="1" name="AND Gate"/> - <tool lib="1" name="OR Gate"/> - </toolbar> - <circuit name="main"> - <a name="circuit" val="main"/> - <a name="clabel" val=""/> - <a name="clabelup" val="east"/> - <a name="clabelfont" val="SansSerif plain 12"/> - <wire from="(220,250)" to="(220,320)"/> - <wire from="(330,210)" to="(380,210)"/> - <wire from="(180,180)" to="(230,180)"/> - <wire from="(260,220)" to="(260,230)"/> - <wire from="(210,230)" to="(210,370)"/> - <wire from="(220,50)" to="(220,130)"/> - <wire from="(350,100)" to="(350,180)"/> - <wire from="(190,150)" to="(190,170)"/> - <wire from="(470,430)" to="(470,460)"/> - <wire from="(130,140)" to="(170,140)"/> - <wire from="(270,360)" to="(310,360)"/> - <wire from="(400,370)" to="(440,370)"/> - <wire from="(290,70)" to="(320,70)"/> - <wire from="(260,130)" to="(280,130)"/> - <wire from="(160,30)" to="(180,30)"/> - <wire from="(130,200)" to="(150,200)"/> - <wire from="(130,430)" to="(400,430)"/> - <wire from="(160,260)" to="(240,260)"/> - <wire from="(380,300)" to="(390,300)"/> - <wire from="(210,110)" to="(290,110)"/> - <wire from="(230,350)" to="(240,350)"/> - <wire from="(220,130)" to="(220,250)"/> - <wire from="(470,460)" to="(540,460)"/> - <wire from="(380,140)" to="(380,210)"/> - <wire from="(200,320)" to="(200,390)"/> - <wire from="(230,100)" to="(350,100)"/> - <wire from="(330,140)" to="(380,140)"/> - <wire from="(200,390)" to="(320,390)"/> - <wire from="(180,190)" to="(230,190)"/> - <wire from="(300,150)" to="(300,160)"/> - <wire from="(420,430)" to="(420,450)"/> - <wire from="(460,430)" to="(460,450)"/> - <wire from="(160,30)" to="(160,180)"/> - <wire from="(230,80)" to="(230,100)"/> - <wire from="(250,220)" to="(250,240)"/> - <wire from="(230,350)" to="(230,440)"/> - <wire from="(190,210)" to="(230,210)"/> - <wire from="(190,170)" to="(230,170)"/> - <wire from="(200,300)" to="(240,300)"/> - <wire from="(180,30)" to="(180,60)"/> - <wire from="(180,150)" to="(180,180)"/> - <wire from="(160,210)" to="(160,240)"/> - <wire from="(130,580)" to="(230,580)"/> - <wire from="(220,50)" to="(250,50)"/> - <wire from="(410,440)" to="(440,440)"/> - <wire from="(170,210)" to="(170,310)"/> - <wire from="(260,140)" to="(280,140)"/> - <wire from="(130,620)" to="(130,660)"/> - <wire from="(390,190)" to="(390,300)"/> - <wire from="(180,70)" to="(180,120)"/> - <wire from="(160,330)" to="(170,330)"/> - <wire from="(140,190)" to="(150,190)"/> - <wire from="(230,640)" to="(240,640)"/> - <wire from="(180,60)" to="(250,60)"/> - <wire from="(160,240)" to="(230,240)"/> - <wire from="(380,20)" to="(380,140)"/> - <wire from="(130,140)" to="(130,200)"/> - <wire from="(140,190)" to="(140,250)"/> - <wire from="(310,360)" to="(370,360)"/> - <wire from="(440,370)" to="(440,440)"/> - <wire from="(130,20)" to="(380,20)"/> - <wire from="(130,590)" to="(130,600)"/> - <wire from="(130,430)" to="(130,440)"/> - <wire from="(250,150)" to="(250,160)"/> - <wire from="(290,110)" to="(340,110)"/> - <wire from="(180,200)" to="(230,200)"/> - <wire from="(410,430)" to="(410,440)"/> - <wire from="(210,230)" to="(260,230)"/> - <wire from="(500,440)" to="(500,450)"/> - <wire from="(290,110)" to="(290,120)"/> - <wire from="(290,150)" to="(290,160)"/> - <wire from="(220,410)" to="(400,410)"/> - <wire from="(200,300)" to="(200,320)"/> - <wire from="(140,250)" to="(180,250)"/> - <wire from="(540,440)" to="(540,460)"/> - <wire from="(210,110)" to="(210,140)"/> - <wire from="(460,450)" to="(500,450)"/> - <wire from="(210,370)" to="(240,370)"/> - <wire from="(130,200)" to="(130,430)"/> - <wire from="(250,240)" to="(340,240)"/> - <wire from="(390,30)" to="(390,190)"/> - <wire from="(330,180)" to="(350,180)"/> - <wire from="(400,450)" to="(420,450)"/> - <wire from="(190,210)" to="(190,250)"/> - <wire from="(240,220)" to="(240,260)"/> - <wire from="(180,30)" to="(390,30)"/> - <wire from="(230,240)" to="(230,350)"/> - <wire from="(230,240)" to="(250,240)"/> - <wire from="(200,130)" to="(220,130)"/> - <wire from="(230,80)" to="(250,80)"/> - <wire from="(220,630)" to="(240,630)"/> - <wire from="(360,380)" to="(370,380)"/> - <wire from="(200,140)" to="(210,140)"/> - <wire from="(180,70)" to="(250,70)"/> - <wire from="(130,20)" to="(130,140)"/> - <wire from="(330,190)" to="(390,190)"/> - <wire from="(270,90)" to="(270,160)"/> - <wire from="(160,260)" to="(160,330)"/> - <wire from="(340,110)" to="(340,240)"/> - <wire from="(240,150)" to="(240,160)"/> - <wire from="(220,250)" to="(270,250)"/> - <wire from="(320,150)" to="(320,160)"/> - <wire from="(260,650)" to="(260,660)"/> - <wire from="(310,340)" to="(310,360)"/> - <wire from="(400,430)" to="(400,450)"/> - <wire from="(130,560)" to="(130,580)"/> - <wire from="(220,320)" to="(220,410)"/> - <wire from="(270,220)" to="(270,250)"/> - <wire from="(430,410)" to="(450,410)"/> - <wire from="(220,320)" to="(240,320)"/> - <wire from="(180,250)" to="(180,300)"/> - <wire from="(180,250)" to="(190,250)"/> - <wire from="(220,130)" to="(230,130)"/> - <wire from="(320,70)" to="(320,120)"/> - <wire from="(130,660)" to="(260,660)"/> - <wire from="(230,580)" to="(230,640)"/> - <comp lib="1" loc="(400,370)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(130,590)" name="Splitter"> - <a name="facing" val="south"/> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> - </comp> - <comp lib="4" loc="(430,410)" name="Register"/> - <comp lib="1" loc="(270,360)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(320,370)" name="Constant"> - <a name="width" val="8"/> - <a name="value" val="0xff"/> - </comp> - <comp loc="(280,150)" name="GPR"/> - <comp lib="4" loc="(380,300)" name="RAM"> - <a name="bus" val="separate"/> - </comp> - <comp lib="0" loc="(220,630)" name="Splitter"> - <a name="facing" val="north"/> - <a name="fanout" val="8"/> - <a name="incoming" val="7"/> - </comp> - <comp lib="5" loc="(540,440)" name="Hex Digit Display"/> - <comp lib="0" loc="(130,440)" name="Pin"> - <a name="facing" val="north"/> - <a name="tristate" val="false"/> - </comp> - <comp loc="(160,210)" name="PC"/> - <comp loc="(190,120)" name="STK"/> - <comp lib="0" loc="(450,410)" name="Splitter"> - <a name="facing" val="south"/> - <a name="incoming" val="8"/> - <a name="bit1" val="0"/> - <a name="bit2" val="0"/> - <a name="bit3" val="0"/> - <a name="bit4" val="1"/> - <a name="bit5" val="1"/> - <a name="bit6" val="1"/> - <a name="bit7" val="1"/> - </comp> - <comp loc="(230,170)" name="ISD"/> - <comp lib="5" loc="(500,440)" name="Hex Digit Display"/> - <comp lib="6" loc="(486,480)" name="Text"> - <a name="text" val="For printing hex values from I/O"/> - </comp> - <comp lib="3" loc="(360,380)" name="Comparator"/> - <comp lib="5" loc="(240,640)" name="TTY"/> - <comp lib="6" loc="(618,320)" name="Text"> - <a name="text" val="In this case, I have only one Memory Mapped output port mapped @ 0xFF."/> - </comp> - <comp loc="(260,130)" name="ALU"/> - <comp lib="0" loc="(290,340)" name="Constant"> - <a name="facing" val="north"/> - </comp> - <comp lib="0" loc="(230,440)" name="Clock"> - <a name="facing" val="north"/> - </comp> - <comp lib="2" loc="(200,320)" name="Multiplexer"> - <a name="selloc" val="tr"/> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(290,70)" name="Multiplexer"> - <a name="select" val="2"/> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - </circuit> - <circuit name="ALU"> - <a name="circuit" val="ALU"/> - <a name="clabel" val=""/> - <a name="clabelup" val="east"/> - <a name="clabelfont" val="SansSerif plain 12"/> - <appear> - <path d="M61,51 Q65,61 69,51" fill="none" stroke="#808080" stroke-width="2"/> - <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/> - <text font-family="SansSerif" font-size="12" text-anchor="middle" x="64" y="72">ALU</text> - <circ-port height="10" pin="650,320" width="10" x="45" y="55"/> - <circ-port height="8" pin="590,400" width="8" x="66" y="76"/> - <circ-port height="8" pin="580,130" width="8" x="76" y="56"/> - <circ-port height="8" pin="710,130" width="8" x="76" y="66"/> - <circ-port height="10" pin="300,810" width="10" x="55" y="75"/> - <circ-anchor facing="east" height="6" width="6" x="77" y="57"/> - </appear> - <wire from="(320,270)" to="(320,340)"/> - <wire from="(190,670)" to="(190,680)"/> - <wire from="(200,360)" to="(200,370)"/> - <wire from="(340,100)" to="(390,100)"/> - <wire from="(340,580)" to="(340,590)"/> - <wire from="(270,750)" to="(270,770)"/> - <wire from="(340,340)" to="(340,360)"/> - <wire from="(190,110)" to="(190,130)"/> - <wire from="(200,280)" to="(200,300)"/> - <wire from="(180,290)" to="(180,380)"/> - <wire from="(140,410)" to="(140,500)"/> - <wire from="(220,330)" to="(220,420)"/> - <wire from="(300,540)" to="(300,570)"/> - <wire from="(290,290)" to="(290,320)"/> - <wire from="(220,780)" to="(220,810)"/> - <wire from="(260,610)" to="(260,700)"/> - <wire from="(150,650)" to="(180,650)"/> - <wire from="(320,270)" to="(340,270)"/> - <wire from="(320,430)" to="(340,430)"/> - <wire from="(190,140)" to="(210,140)"/> - <wire from="(130,480)" to="(150,480)"/> - <wire from="(130,720)" to="(150,720)"/> - <wire from="(250,120)" to="(270,120)"/> - <wire from="(190,380)" to="(210,380)"/> - <wire from="(180,740)" to="(180,790)"/> - <wire from="(280,560)" to="(290,560)"/> - <wire from="(290,330)" to="(300,330)"/> - <wire from="(290,90)" to="(300,90)"/> - <wire from="(330,130)" to="(340,130)"/> - <wire from="(140,500)" to="(150,500)"/> - <wire from="(190,550)" to="(200,550)"/> - <wire from="(200,480)" to="(210,480)"/> - <wire from="(200,720)" to="(210,720)"/> - <wire from="(220,420)" to="(230,420)"/> - <wire from="(320,720)" to="(320,790)"/> - <wire from="(190,400)" to="(190,410)"/> - <wire from="(200,90)" to="(200,100)"/> - <wire from="(340,550)" to="(390,550)"/> - <wire from="(340,310)" to="(340,320)"/> - <wire from="(270,480)" to="(270,500)"/> - <wire from="(260,790)" to="(260,810)"/> - <wire from="(340,70)" to="(340,90)"/> - <wire from="(340,790)" to="(340,810)"/> - <wire from="(190,560)" to="(190,580)"/> - <wire from="(200,730)" to="(200,750)"/> - <wire from="(140,140)" to="(140,230)"/> - <wire from="(300,270)" to="(300,300)"/> - <wire from="(290,740)" to="(290,770)"/> - <wire from="(260,340)" to="(260,430)"/> - <wire from="(150,380)" to="(180,380)"/> - <wire from="(320,160)" to="(340,160)"/> - <wire from="(250,570)" to="(270,570)"/> - <wire from="(320,720)" to="(340,720)"/> - <wire from="(180,70)" to="(180,110)"/> - <wire from="(190,110)" to="(210,110)"/> - <wire from="(130,450)" to="(150,450)"/> - <wire from="(130,210)" to="(150,210)"/> - <wire from="(190,590)" to="(210,590)"/> - <wire from="(280,290)" to="(290,290)"/> - <wire from="(290,780)" to="(300,780)"/> - <wire from="(290,540)" to="(300,540)"/> - <wire from="(330,580)" to="(340,580)"/> - <wire from="(140,230)" to="(150,230)"/> - <wire from="(200,210)" to="(210,210)"/> - <wire from="(190,280)" to="(200,280)"/> - <wire from="(220,150)" to="(230,150)"/> - <wire from="(200,450)" to="(210,450)"/> - <wire from="(320,450)" to="(320,520)"/> - <wire from="(190,130)" to="(190,140)"/> - <wire from="(200,540)" to="(200,550)"/> - <wire from="(340,280)" to="(390,280)"/> - <wire from="(340,760)" to="(340,770)"/> - <wire from="(270,210)" to="(270,230)"/> - <wire from="(340,520)" to="(340,540)"/> - <wire from="(200,460)" to="(200,480)"/> - <wire from="(190,290)" to="(190,310)"/> - <wire from="(180,470)" to="(180,560)"/> - <wire from="(140,590)" to="(140,680)"/> - <wire from="(220,510)" to="(220,600)"/> - <wire from="(260,70)" to="(260,160)"/> - <wire from="(290,470)" to="(290,500)"/> - <wire from="(300,720)" to="(300,750)"/> - <wire from="(150,110)" to="(180,110)"/> - <wire from="(320,610)" to="(340,610)"/> - <wire from="(320,450)" to="(340,450)"/> - <wire from="(130,660)" to="(150,660)"/> - <wire from="(130,180)" to="(150,180)"/> - <wire from="(190,320)" to="(210,320)"/> - <wire from="(190,560)" to="(210,560)"/> - <wire from="(250,300)" to="(270,300)"/> - <wire from="(280,740)" to="(290,740)"/> - <wire from="(290,270)" to="(300,270)"/> - <wire from="(290,510)" to="(300,510)"/> - <wire from="(330,310)" to="(340,310)"/> - <wire from="(140,680)" to="(150,680)"/> - <wire from="(190,730)" to="(200,730)"/> - <wire from="(200,180)" to="(210,180)"/> - <wire from="(200,660)" to="(210,660)"/> - <wire from="(220,600)" to="(230,600)"/> - <wire from="(320,180)" to="(320,250)"/> - <wire from="(200,270)" to="(200,280)"/> - <wire from="(190,580)" to="(190,590)"/> - <wire from="(340,730)" to="(390,730)"/> - <wire from="(340,490)" to="(340,500)"/> - <wire from="(270,660)" to="(270,680)"/> - <wire from="(340,250)" to="(340,270)"/> - <wire from="(140,770)" to="(140,790)"/> - <wire from="(200,190)" to="(200,210)"/> - <wire from="(190,740)" to="(190,760)"/> - <wire from="(140,320)" to="(140,410)"/> - <wire from="(180,200)" to="(180,290)"/> - <wire from="(220,240)" to="(220,330)"/> - <wire from="(300,450)" to="(300,480)"/> - <wire from="(290,200)" to="(290,230)"/> - <wire from="(260,520)" to="(260,610)"/> - <wire from="(150,560)" to="(180,560)"/> - <wire from="(320,180)" to="(340,180)"/> - <wire from="(250,750)" to="(270,750)"/> - <wire from="(320,340)" to="(340,340)"/> - <wire from="(130,390)" to="(150,390)"/> - <wire from="(130,630)" to="(150,630)"/> - <wire from="(190,770)" to="(210,770)"/> - <wire from="(190,290)" to="(210,290)"/> - <wire from="(290,720)" to="(300,720)"/> - <wire from="(290,240)" to="(300,240)"/> - <wire from="(280,470)" to="(290,470)"/> - <wire from="(330,760)" to="(340,760)"/> - <wire from="(140,410)" to="(150,410)"/> - <wire from="(200,630)" to="(210,630)"/> - <wire from="(200,390)" to="(210,390)"/> - <wire from="(190,460)" to="(200,460)"/> - <wire from="(220,330)" to="(230,330)"/> - <wire from="(320,630)" to="(320,700)"/> - <wire from="(190,310)" to="(190,320)"/> - <wire from="(200,720)" to="(200,730)"/> - <wire from="(340,460)" to="(390,460)"/> - <wire from="(340,220)" to="(340,230)"/> - <wire from="(270,390)" to="(270,410)"/> - <wire from="(340,700)" to="(340,720)"/> - <wire from="(190,470)" to="(190,490)"/> - <wire from="(200,640)" to="(200,660)"/> - <wire from="(220,690)" to="(220,780)"/> - <wire from="(180,650)" to="(180,740)"/> - <wire from="(300,180)" to="(300,210)"/> - <wire from="(290,650)" to="(290,680)"/> - <wire from="(260,250)" to="(260,340)"/> - <wire from="(150,290)" to="(180,290)"/> - <wire from="(250,480)" to="(270,480)"/> - <wire from="(320,790)" to="(340,790)"/> - <wire from="(320,630)" to="(340,630)"/> - <wire from="(130,360)" to="(150,360)"/> - <wire from="(130,120)" to="(150,120)"/> - <wire from="(190,740)" to="(210,740)"/> - <wire from="(190,500)" to="(210,500)"/> - <wire from="(280,200)" to="(290,200)"/> - <wire from="(290,450)" to="(300,450)"/> - <wire from="(290,690)" to="(300,690)"/> - <wire from="(330,490)" to="(340,490)"/> - <wire from="(140,140)" to="(150,140)"/> - <wire from="(190,190)" to="(200,190)"/> - <wire from="(200,360)" to="(210,360)"/> - <wire from="(200,120)" to="(210,120)"/> - <wire from="(220,780)" to="(230,780)"/> - <wire from="(320,360)" to="(320,430)"/> - <wire from="(190,760)" to="(190,770)"/> - <wire from="(200,450)" to="(200,460)"/> - <wire from="(340,190)" to="(390,190)"/> - <wire from="(340,670)" to="(340,680)"/> - <wire from="(300,70)" to="(300,90)"/> - <wire from="(270,120)" to="(270,140)"/> - <wire from="(340,430)" to="(340,450)"/> - <wire from="(190,200)" to="(190,220)"/> - <wire from="(200,370)" to="(200,390)"/> - <wire from="(140,500)" to="(140,590)"/> - <wire from="(180,380)" to="(180,470)"/> - <wire from="(220,420)" to="(220,510)"/> - <wire from="(290,380)" to="(290,410)"/> - <wire from="(300,630)" to="(300,660)"/> - <wire from="(260,700)" to="(260,790)"/> - <wire from="(150,740)" to="(180,740)"/> - <wire from="(320,520)" to="(340,520)"/> - <wire from="(320,360)" to="(340,360)"/> - <wire from="(130,90)" to="(150,90)"/> - <wire from="(190,230)" to="(210,230)"/> - <wire from="(130,570)" to="(150,570)"/> - <wire from="(190,470)" to="(210,470)"/> - <wire from="(250,210)" to="(270,210)"/> - <wire from="(290,180)" to="(300,180)"/> - <wire from="(280,650)" to="(290,650)"/> - <wire from="(290,420)" to="(300,420)"/> - <wire from="(330,220)" to="(340,220)"/> - <wire from="(140,590)" to="(150,590)"/> - <wire from="(190,640)" to="(200,640)"/> - <wire from="(200,90)" to="(210,90)"/> - <wire from="(200,570)" to="(210,570)"/> - <wire from="(220,510)" to="(230,510)"/> - <wire from="(320,90)" to="(320,160)"/> - <wire from="(140,70)" to="(140,140)"/> - <wire from="(200,180)" to="(200,190)"/> - <wire from="(190,490)" to="(190,500)"/> - <wire from="(340,640)" to="(390,640)"/> - <wire from="(340,400)" to="(340,410)"/> - <wire from="(270,570)" to="(270,590)"/> - <wire from="(340,160)" to="(340,180)"/> - <wire from="(220,70)" to="(220,150)"/> - <wire from="(200,100)" to="(200,120)"/> - <wire from="(190,650)" to="(190,670)"/> - <wire from="(180,110)" to="(180,200)"/> - <wire from="(140,230)" to="(140,320)"/> - <wire from="(220,150)" to="(220,240)"/> - <wire from="(290,110)" to="(290,140)"/> - <wire from="(300,360)" to="(300,390)"/> - <wire from="(260,430)" to="(260,520)"/> - <wire from="(150,470)" to="(180,470)"/> - <wire from="(250,660)" to="(270,660)"/> - <wire from="(320,90)" to="(340,90)"/> - <wire from="(320,250)" to="(340,250)"/> - <wire from="(130,540)" to="(150,540)"/> - <wire from="(130,300)" to="(150,300)"/> - <wire from="(190,200)" to="(210,200)"/> - <wire from="(190,680)" to="(210,680)"/> - <wire from="(290,150)" to="(300,150)"/> - <wire from="(290,630)" to="(300,630)"/> - <wire from="(280,380)" to="(290,380)"/> - <wire from="(330,670)" to="(340,670)"/> - <wire from="(140,320)" to="(150,320)"/> - <wire from="(220,240)" to="(230,240)"/> - <wire from="(200,300)" to="(210,300)"/> - <wire from="(190,370)" to="(200,370)"/> - <wire from="(200,540)" to="(210,540)"/> - <wire from="(320,540)" to="(320,610)"/> - <wire from="(190,220)" to="(190,230)"/> - <wire from="(200,630)" to="(200,640)"/> - <wire from="(340,370)" to="(390,370)"/> - <wire from="(340,130)" to="(340,140)"/> - <wire from="(270,300)" to="(270,320)"/> - <wire from="(340,610)" to="(340,630)"/> - <wire from="(190,380)" to="(190,400)"/> - <wire from="(200,550)" to="(200,570)"/> - <wire from="(140,680)" to="(140,770)"/> - <wire from="(180,560)" to="(180,650)"/> - <wire from="(220,600)" to="(220,690)"/> - <wire from="(290,560)" to="(290,590)"/> - <wire from="(300,90)" to="(300,120)"/> - <wire from="(260,160)" to="(260,250)"/> - <wire from="(150,200)" to="(180,200)"/> - <wire from="(320,540)" to="(340,540)"/> - <wire from="(320,700)" to="(340,700)"/> - <wire from="(130,750)" to="(150,750)"/> - <wire from="(130,270)" to="(150,270)"/> - <wire from="(190,650)" to="(210,650)"/> - <wire from="(190,410)" to="(210,410)"/> - <wire from="(250,390)" to="(270,390)"/> - <wire from="(290,600)" to="(300,600)"/> - <wire from="(290,360)" to="(300,360)"/> - <wire from="(280,110)" to="(290,110)"/> - <wire from="(330,400)" to="(340,400)"/> - <wire from="(140,770)" to="(150,770)"/> - <wire from="(190,100)" to="(200,100)"/> - <wire from="(220,690)" to="(230,690)"/> - <wire from="(200,270)" to="(210,270)"/> - <wire from="(200,750)" to="(210,750)"/> - <comp lib="0" loc="(130,450)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b4"/> - </comp> - <comp lib="1" loc="(250,730)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,240)" name="Tunnel"> - <a name="label" val="o0"/> - </comp> - <comp lib="0" loc="(690,180)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a4"/> - </comp> - <comp lib="1" loc="(270,140)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(240,220)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(690,160)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a2"/> - </comp> - <comp lib="1" loc="(190,310)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,580)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(280,200)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(130,270)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b2"/> - </comp> - <comp lib="0" loc="(590,400)" name="Pin"> - <a name="width" val="3"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(130,390)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a3"/> - </comp> - <comp lib="1" loc="(250,460)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,190)" name="Tunnel"> - <a name="label" val="o1"/> - </comp> - <comp lib="4" loc="(730,400)" name="ROM"> - <a name="addrWidth" val="3"/> - <a name="dataWidth" val="6"/> - <a name="contents">addr/data: 3 6 -8 3b 4 0 2 38 7 12 -</a> - </comp> - <comp lib="0" loc="(670,300)" name="Tunnel"> - <a name="label" val="o6"/> - </comp> - <comp lib="1" loc="(330,310)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(560,160)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b2"/> - </comp> - <comp lib="1" loc="(310,510)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(340,370)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,370)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(250,280)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(300,780)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(340,730)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,400)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(340,460)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(300,690)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(390,730)" name="Tunnel"> - <a name="label" val="o7"/> - </comp> - <comp lib="1" loc="(190,280)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,220)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,760)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(300,270)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,640)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,460)" name="Tunnel"> - <a name="label" val="o4"/> - </comp> - <comp lib="1" loc="(340,280)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(300,330)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(330,580)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(270,500)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(300,150)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(240,490)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,760)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(560,190)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b5"/> - </comp> - <comp lib="1" loc="(310,600)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(270,230)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(710,130)" name="Splitter"> - <a name="facing" val="west"/> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> - </comp> - <comp lib="1" loc="(250,370)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(746,165)" name="Text"> - <a name="text" val="Input A"/> - </comp> - <comp lib="1" loc="(280,470)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,130)" name="Pin"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(330,220)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(690,210)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a7"/> - </comp> - <comp lib="1" loc="(310,690)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(280,290)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(690,190)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a5"/> - </comp> - <comp lib="0" loc="(220,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="OR"/> - </comp> - <comp lib="0" loc="(260,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="CC"/> - </comp> - <comp lib="6" loc="(749,472)" name="Text"> - <a name="text" val="OPCODES: 000: OR, 001: AND, 010: XOR, 011: ADD, 100: CIN, 101: NAND, 110: XNOR, 111: SUB"/> - </comp> - <comp lib="6" loc="(612,164)" name="Text"> - <a name="text" val="Input B"/> - </comp> - <comp lib="1" loc="(280,380)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,280)" name="Tunnel"> - <a name="label" val="o4"/> - </comp> - <comp lib="1" loc="(270,770)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(190,100)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(130,630)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b6"/> - </comp> - <comp lib="1" loc="(330,670)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(310,240)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(300,360)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(280,110)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,400)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(300,420)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(250,100)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(560,210)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b7"/> - </comp> - <comp lib="0" loc="(130,750)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a7"/> - </comp> - <comp lib="0" loc="(390,100)" name="Tunnel"> - <a name="label" val="o0"/> - </comp> - <comp lib="1" loc="(190,130)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(690,170)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a3"/> - </comp> - <comp lib="1" loc="(190,550)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,670)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(280,560)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(140,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="!A"/> - </comp> - <comp lib="1" loc="(250,640)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(770,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="CC"/> - </comp> - <comp lib="0" loc="(390,550)" name="Tunnel"> - <a name="label" val="o5"/> - </comp> - <comp lib="0" loc="(560,140)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b0"/> - </comp> - <comp lib="1" loc="(300,720)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(780,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="CI"/> - </comp> - <comp lib="1" loc="(300,630)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(270,680)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(270,320)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(790,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="FC"/> - </comp> - <comp lib="1" loc="(270,590)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(340,550)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(240,670)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(740,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="!A"/> - </comp> - <comp lib="1" loc="(240,400)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(240,310)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(760,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="OR"/> - </comp> - <comp lib="1" loc="(190,460)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(310,420)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(560,180)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b4"/> - </comp> - <comp lib="1" loc="(300,810)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(690,150)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a1"/> - </comp> - <comp lib="0" loc="(130,480)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a4"/> - </comp> - <comp lib="1" loc="(310,330)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(611,272)" name="Text"> - <a name="text" val="Output"/> - </comp> - <comp lib="1" loc="(270,410)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(390,640)" name="Tunnel"> - <a name="label" val="o6"/> - </comp> - <comp lib="1" loc="(340,190)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(240,580)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,280)" name="Tunnel"> - <a name="label" val="o2"/> - </comp> - <comp lib="1" loc="(330,130)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(130,300)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a2"/> - </comp> - <comp lib="0" loc="(130,180)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b1"/> - </comp> - <comp lib="1" loc="(250,550)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(310,150)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(190,730)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,490)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="6" loc="(624,352)" name="Text"> - <a name="text" val="Opcodes"/> - </comp> - <comp lib="0" loc="(130,660)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a6"/> - </comp> - <comp lib="0" loc="(560,170)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b3"/> - </comp> - <comp lib="0" loc="(670,270)" name="Tunnel"> - <a name="label" val="o3"/> - </comp> - <comp lib="0" loc="(130,90)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b0"/> - </comp> - <comp lib="1" loc="(240,760)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(730,400)" name="Splitter"> - <a name="facing" val="north"/> - <a name="fanout" val="6"/> - <a name="incoming" val="6"/> - <a name="appear" val="right"/> - </comp> - <comp lib="0" loc="(130,540)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b5"/> - </comp> - <comp lib="0" loc="(390,370)" name="Tunnel"> - <a name="label" val="o3"/> - </comp> - <comp lib="0" loc="(130,360)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b3"/> - </comp> - <comp lib="0" loc="(580,130)" name="Splitter"> - <a name="facing" val="west"/> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> - </comp> - <comp lib="0" loc="(670,260)" name="Tunnel"> - <a name="label" val="o2"/> - </comp> - <comp lib="1" loc="(190,190)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(580,130)" name="Pin"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(280,740)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(280,650)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(130,120)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a0"/> - </comp> - <comp lib="1" loc="(310,780)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(300,180)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(650,320)" name="Pin"> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(300,510)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(690,200)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a6"/> - </comp> - <comp lib="0" loc="(130,720)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b7"/> - </comp> - <comp lib="0" loc="(560,200)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b6"/> - </comp> - <comp lib="1" loc="(300,540)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(130,570)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a5"/> - </comp> - <comp lib="0" loc="(690,140)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a0"/> - </comp> - <comp lib="0" loc="(130,210)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a1"/> - </comp> - <comp lib="1" loc="(340,640)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(340,100)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(300,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="CI"/> - </comp> - <comp lib="0" loc="(560,150)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b1"/> - </comp> - <comp lib="1" loc="(300,600)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(340,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="FC"/> - </comp> - <comp lib="0" loc="(180,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="!B"/> - </comp> - <comp lib="0" loc="(300,810)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="tristate" val="false"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(750,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="!B"/> - </comp> - <comp lib="1" loc="(190,490)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,250)" name="Tunnel"> - <a name="label" val="o1"/> - </comp> - <comp lib="1" loc="(300,450)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(650,320)" name="Splitter"> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> - </comp> - <comp lib="0" loc="(640,440)" name="Constant"/> - <comp lib="1" loc="(250,190)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(300,240)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(670,310)" name="Tunnel"> - <a name="label" val="o7"/> - </comp> - <comp lib="0" loc="(670,290)" name="Tunnel"> - <a name="label" val="o5"/> - </comp> - <comp lib="1" loc="(240,130)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - </circuit> - <circuit name="GPR"> - <a name="circuit" val="GPR"/> - <a name="clabel" val=""/> - <a name="clabelup" val="east"/> - <a name="clabelfont" val="SansSerif plain 12"/> - <appear> - <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="49" x="50" y="70"/> - <text font-family="SansSerif" font-size="12" text-anchor="middle" x="74" y="89">GPR</text> - <circ-port height="8" pin="100,90" width="8" x="86" y="96"/> - <circ-port height="8" pin="140,130" width="8" x="86" y="66"/> - <circ-port height="10" pin="140,230" width="10" x="45" y="75"/> - <circ-port height="10" pin="140,260" width="10" x="45" y="85"/> - <circ-port height="8" pin="150,340" width="8" x="56" y="96"/> - <circ-port height="8" pin="240,340" width="8" x="66" y="96"/> - <circ-port height="8" pin="110,60" width="8" x="56" y="66"/> - <circ-port height="8" pin="130,200" width="8" x="96" y="86"/> - <circ-anchor facing="east" height="6" width="6" x="47" y="97"/> - </appear> - <wire from="(220,250)" to="(220,320)"/> - <wire from="(210,120)" to="(210,190)"/> - <wire from="(140,260)" to="(190,260)"/> - <wire from="(180,100)" to="(180,120)"/> - <wire from="(180,300)" to="(180,320)"/> - <wire from="(170,100)" to="(170,190)"/> - <wire from="(160,130)" to="(200,130)"/> - <wire from="(270,210)" to="(270,240)"/> - <wire from="(200,130)" to="(240,130)"/> - <wire from="(230,260)" to="(270,260)"/> - <wire from="(190,260)" to="(230,260)"/> - <wire from="(170,290)" to="(170,320)"/> - <wire from="(190,210)" to="(190,240)"/> - <wire from="(230,210)" to="(230,240)"/> - <wire from="(180,280)" to="(210,280)"/> - <wire from="(170,290)" to="(200,290)"/> - <wire from="(180,120)" to="(210,120)"/> - <wire from="(270,260)" to="(290,260)"/> - <wire from="(190,170)" to="(190,210)"/> - <wire from="(230,170)" to="(230,210)"/> - <wire from="(270,170)" to="(270,210)"/> - <wire from="(140,130)" to="(160,130)"/> - <wire from="(150,270)" to="(150,320)"/> - <wire from="(280,220)" to="(280,270)"/> - <wire from="(150,270)" to="(280,270)"/> - <wire from="(200,220)" to="(200,290)"/> - <wire from="(180,300)" to="(240,300)"/> - <wire from="(190,110)" to="(250,110)"/> - <wire from="(180,190)" to="(180,200)"/> - <wire from="(190,100)" to="(190,110)"/> - <wire from="(220,190)" to="(220,200)"/> - <wire from="(200,310)" to="(200,320)"/> - <wire from="(130,200)" to="(180,200)"/> - <wire from="(240,130)" to="(290,130)"/> - <wire from="(260,190)" to="(260,200)"/> - <wire from="(250,110)" to="(250,190)"/> - <wire from="(240,220)" to="(240,300)"/> - <wire from="(180,200)" to="(220,200)"/> - <wire from="(170,230)" to="(210,230)"/> - <wire from="(260,250)" to="(260,280)"/> - <wire from="(210,230)" to="(250,230)"/> - <wire from="(250,230)" to="(290,230)"/> - <wire from="(220,200)" to="(260,200)"/> - <wire from="(180,250)" to="(180,280)"/> - <wire from="(200,310)" to="(300,310)"/> - <wire from="(130,80)" to="(160,80)"/> - <wire from="(140,230)" to="(170,230)"/> - <wire from="(230,280)" to="(260,280)"/> - <wire from="(160,220)" to="(160,320)"/> - <wire from="(280,220)" to="(300,220)"/> - <wire from="(160,130)" to="(160,170)"/> - <wire from="(200,130)" to="(200,170)"/> - <wire from="(230,280)" to="(230,320)"/> - <wire from="(240,130)" to="(240,170)"/> - <wire from="(210,280)" to="(210,320)"/> - <wire from="(170,210)" to="(190,210)"/> - <wire from="(250,210)" to="(270,210)"/> - <wire from="(210,210)" to="(230,210)"/> - <wire from="(260,250)" to="(270,250)"/> - <wire from="(180,250)" to="(190,250)"/> - <wire from="(220,250)" to="(230,250)"/> - <wire from="(300,250)" to="(300,310)"/> - <comp lib="0" loc="(200,180)" name="Constant"/> - <comp lib="0" loc="(310,230)" name="Constant"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(190,260)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> - </comp> - <comp lib="0" loc="(100,90)" name="Pin"> - <a name="width" val="2"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(100,70)" name="Constant"> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(140,260)" name="Pin"> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(160,180)" name="Constant"/> - <comp lib="0" loc="(130,200)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(170,230)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> - </comp> - <comp lib="1" loc="(270,260)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> - </comp> - <comp lib="1" loc="(290,260)" name="Controlled Buffer"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - </comp> - <comp lib="0" loc="(110,60)" name="Pin"> - <a name="facing" val="south"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(240,180)" name="Constant"/> - <comp lib="0" loc="(150,340)" name="Pin"> - <a name="width" val="2"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="4" loc="(270,170)" name="Register"/> - <comp lib="4" loc="(230,170)" name="Register"/> - <comp lib="0" loc="(140,230)" name="Pin"> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(230,260)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> - </comp> - <comp lib="2" loc="(150,340)" name="Decoder"> - <a name="facing" val="north"/> - <a name="select" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(190,170)" name="Register"/> - <comp lib="1" loc="(250,230)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> - </comp> - <comp lib="1" loc="(290,230)" name="Controlled Buffer"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - </comp> - <comp lib="0" loc="(310,260)" name="Constant"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(140,130)" name="Pin"> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="2" loc="(130,80)" name="Multiplexer"> - <a name="selloc" val="tr"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(240,340)" name="Decoder"> - <a name="facing" val="north"/> - <a name="selloc" val="tr"/> - <a name="select" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(240,340)" name="Pin"> - <a name="facing" val="west"/> - <a name="width" val="2"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(210,230)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> - </comp> - <comp lib="2" loc="(160,80)" name="Decoder"> - <a name="facing" val="south"/> - <a name="select" val="2"/> - <a name="enable" val="false"/> - </comp> - </circuit> - <circuit name="ISD"> - <a name="circuit" val="ISD"/> - <a name="clabel" val=""/> - <a name="clabelup" val="east"/> - <a name="clabelfont" val="SansSerif plain 12"/> - <appear> - <rect fill="none" height="61" stroke="#000000" stroke-width="2" width="100" x="50" y="50"/> - <text font-family="SansSerif" font-size="12" text-anchor="middle" x="99" y="84">ISD</text> - <circ-port height="8" pin="80,50" width="8" x="146" y="76"/> - <circ-port height="10" pin="610,1520" width="10" x="85" y="45"/> - <circ-port height="10" pin="680,1520" width="10" x="45" y="95"/> - <circ-port height="10" pin="770,1570" width="10" x="45" y="75"/> - <circ-port height="10" pin="760,1520" width="10" x="45" y="65"/> - <circ-port height="10" pin="800,1520" width="10" x="45" y="55"/> - <circ-port height="10" pin="370,1520" width="10" x="65" y="45"/> - <circ-port height="10" pin="840,1520" width="10" x="105" y="45"/> - <circ-port height="10" pin="880,1520" width="10" x="115" y="45"/> - <circ-port height="10" pin="920,1520" width="10" x="135" y="45"/> - <circ-port height="8" pin="540,1580" width="8" x="86" y="106"/> - <circ-port height="10" pin="440,1580" width="10" x="145" y="65"/> - <circ-port height="10" pin="240,1580" width="10" x="55" y="105"/> - <circ-port height="8" pin="80,80" width="8" x="66" y="106"/> - <circ-port height="8" pin="670,1580" width="8" x="56" y="46"/> - <circ-port height="10" pin="1060,1520" width="10" x="75" y="105"/> - <circ-port height="8" pin="80,110" width="8" x="146" y="96"/> - <circ-port height="8" pin="410,1590" width="8" x="46" y="86"/> - <circ-anchor facing="east" height="6" width="6" x="47" y="57"/> - </appear> - <wire from="(190,580)" to="(250,580)"/> - <wire from="(430,110)" to="(430,120)"/> - <wire from="(250,1530)" to="(250,1550)"/> - <wire from="(170,960)" to="(280,960)"/> - <wire from="(830,830)" to="(830,850)"/> - <wire from="(220,90)" to="(220,180)"/> - <wire from="(240,430)" to="(240,520)"/> - <wire from="(200,390)" to="(200,480)"/> - <wire from="(870,1190)" to="(870,1210)"/> - <wire from="(240,970)" to="(280,970)"/> - <wire from="(430,200)" to="(470,200)"/> - <wire from="(220,1370)" to="(220,1470)"/> - <wire from="(240,340)" to="(270,340)"/> - <wire from="(850,1280)" to="(870,1280)"/> - <wire from="(910,380)" to="(930,380)"/> - <wire from="(1020,1140)" to="(1030,1140)"/> - <wire from="(170,1320)" to="(170,1430)"/> - <wire from="(1110,1100)" to="(1110,1480)"/> - <wire from="(440,240)" to="(450,240)"/> - <wire from="(480,600)" to="(490,600)"/> - <wire from="(690,690)" to="(690,750)"/> - <wire from="(650,330)" to="(650,390)"/> - <wire from="(730,1050)" to="(730,1110)"/> - <wire from="(370,690)" to="(370,750)"/> - <wire from="(980,780)" to="(990,780)"/> - <wire from="(410,1050)" to="(410,1110)"/> - <wire from="(800,600)" to="(810,600)"/> - <wire from="(920,1240)" to="(920,1290)"/> - <wire from="(760,240)" to="(770,240)"/> - <wire from="(520,960)" to="(530,960)"/> - <wire from="(840,520)" to="(840,570)"/> - <wire from="(880,880)" to="(880,930)"/> - <wire from="(510,1280)" to="(510,1290)"/> - <wire from="(870,1400)" to="(920,1400)"/> - <wire from="(930,420)" to="(930,430)"/> - <wire from="(190,1030)" to="(250,1030)"/> - <wire from="(890,60)" to="(890,70)"/> - <wire from="(210,730)" to="(270,730)"/> - <wire from="(230,440)" to="(280,440)"/> - <wire from="(1040,1560)" to="(1100,1560)"/> - <wire from="(180,500)" to="(180,590)"/> - <wire from="(200,840)" to="(200,930)"/> - <wire from="(830,1280)" to="(830,1300)"/> - <wire from="(220,540)" to="(220,630)"/> - <wire from="(240,880)" to="(240,970)"/> - <wire from="(830,110)" to="(850,110)"/> - <wire from="(870,470)" to="(890,470)"/> - <wire from="(220,450)" to="(250,450)"/> - <wire from="(910,830)" to="(930,830)"/> - <wire from="(1070,240)" to="(1070,300)"/> - <wire from="(1130,920)" to="(1130,1620)"/> - <wire from="(400,330)" to="(410,330)"/> - <wire from="(480,1050)" to="(490,1050)"/> - <wire from="(440,690)" to="(450,690)"/> - <wire from="(180,1450)" to="(260,1450)"/> - <wire from="(320,1530)" to="(330,1530)"/> - <wire from="(570,60)" to="(570,120)"/> - <wire from="(610,420)" to="(610,480)"/> - <wire from="(650,780)" to="(650,840)"/> - <wire from="(690,1140)" to="(690,1200)"/> - <wire from="(200,840)" to="(270,840)"/> - <wire from="(980,1230)" to="(990,1230)"/> - <wire from="(800,1050)" to="(810,1050)"/> - <wire from="(370,1140)" to="(370,1200)"/> - <wire from="(760,690)" to="(770,690)"/> - <wire from="(720,330)" to="(730,330)"/> - <wire from="(840,970)" to="(840,1020)"/> - <wire from="(710,650)" to="(710,660)"/> - <wire from="(930,870)" to="(930,880)"/> - <wire from="(850,150)" to="(850,160)"/> - <wire from="(890,510)" to="(890,520)"/> - <wire from="(230,890)" to="(280,890)"/> - <wire from="(220,990)" to="(220,1080)"/> - <wire from="(180,950)" to="(180,1040)"/> - <wire from="(830,560)" to="(850,560)"/> - <wire from="(870,920)" to="(890,920)"/> - <wire from="(910,1280)" to="(930,1280)"/> - <wire from="(190,940)" to="(280,940)"/> - <wire from="(200,1290)" to="(200,1390)"/> - <wire from="(330,380)" to="(350,380)"/> - <wire from="(1070,690)" to="(1070,750)"/> - <wire from="(400,780)" to="(410,780)"/> - <wire from="(440,1140)" to="(450,1140)"/> - <wire from="(360,1320)" to="(360,1500)"/> - <wire from="(360,420)" to="(370,420)"/> - <wire from="(1030,330)" to="(1030,390)"/> - <wire from="(530,150)" to="(530,210)"/> - <wire from="(570,510)" to="(570,570)"/> - <wire from="(610,870)" to="(610,930)"/> - <wire from="(650,1230)" to="(650,1290)"/> - <wire from="(920,340)" to="(930,340)"/> - <wire from="(760,1140)" to="(770,1140)"/> - <wire from="(680,420)" to="(690,420)"/> - <wire from="(720,780)" to="(730,780)"/> - <wire from="(640,60)" to="(650,60)"/> - <wire from="(680,1320)" to="(680,1520)"/> - <wire from="(830,1360)" to="(950,1360)"/> - <wire from="(850,600)" to="(850,610)"/> - <wire from="(890,960)" to="(890,970)"/> - <wire from="(700,1600)" to="(700,1670)"/> - <wire from="(280,1630)" to="(280,1650)"/> - <wire from="(190,130)" to="(190,220)"/> - <wire from="(230,170)" to="(230,260)"/> - <wire from="(200,1550)" to="(200,1580)"/> - <wire from="(830,1010)" to="(850,1010)"/> - <wire from="(890,110)" to="(910,110)"/> - <wire from="(1080,1590)" to="(1090,1590)"/> - <wire from="(1070,1140)" to="(1070,1200)"/> - <wire from="(810,240)" to="(810,300)"/> - <wire from="(400,1230)" to="(410,1230)"/> - <wire from="(170,420)" to="(250,420)"/> - <wire from="(1030,780)" to="(1030,840)"/> - <wire from="(190,760)" to="(270,760)"/> - <wire from="(360,870)" to="(370,870)"/> - <wire from="(990,420)" to="(990,480)"/> - <wire from="(530,600)" to="(530,660)"/> - <wire from="(570,960)" to="(570,1020)"/> - <wire from="(920,790)" to="(930,790)"/> - <wire from="(290,1400)" to="(870,1400)"/> - <wire from="(330,470)" to="(590,470)"/> - <wire from="(840,70)" to="(850,70)"/> - <wire from="(880,430)" to="(890,430)"/> - <wire from="(490,240)" to="(490,300)"/> - <wire from="(680,870)" to="(690,870)"/> - <wire from="(720,1230)" to="(730,1230)"/> - <wire from="(600,150)" to="(610,150)"/> - <wire from="(640,510)" to="(650,510)"/> - <wire from="(550,110)" to="(550,120)"/> - <wire from="(590,470)" to="(590,480)"/> - <wire from="(1040,1560)" to="(1040,1580)"/> - <wire from="(850,1050)" to="(850,1060)"/> - <wire from="(200,480)" to="(250,480)"/> - <wire from="(590,560)" to="(630,560)"/> - <wire from="(170,240)" to="(170,330)"/> - <wire from="(870,110)" to="(870,130)"/> - <wire from="(230,620)" to="(230,710)"/> - <wire from="(210,280)" to="(210,370)"/> - <wire from="(190,580)" to="(190,670)"/> - <wire from="(910,470)" to="(910,490)"/> - <wire from="(350,1280)" to="(390,1280)"/> - <wire from="(930,1320)" to="(930,1430)"/> - <wire from="(850,200)" to="(870,200)"/> - <wire from="(890,560)" to="(910,560)"/> - <wire from="(120,80)" to="(120,1650)"/> - <wire from="(720,1560)" to="(720,1600)"/> - <wire from="(1060,420)" to="(1070,420)"/> - <wire from="(330,1280)" to="(350,1280)"/> - <wire from="(670,1100)" to="(830,1100)"/> - <wire from="(1030,1230)" to="(1030,1290)"/> - <wire from="(810,690)" to="(810,750)"/> - <wire from="(990,870)" to="(990,930)"/> - <wire from="(530,1050)" to="(530,1110)"/> - <wire from="(770,330)" to="(770,390)"/> - <wire from="(80,80)" to="(90,80)"/> - <wire from="(920,1240)" to="(930,1240)"/> - <wire from="(1020,60)" to="(1030,60)"/> - <wire from="(330,920)" to="(590,920)"/> - <wire from="(450,330)" to="(450,390)"/> - <wire from="(840,520)" to="(850,520)"/> - <wire from="(480,1320)" to="(480,1380)"/> - <wire from="(490,690)" to="(490,750)"/> - <wire from="(880,880)" to="(890,880)"/> - <wire from="(920,160)" to="(920,210)"/> - <wire from="(640,960)" to="(650,960)"/> - <wire from="(560,240)" to="(570,240)"/> - <wire from="(190,70)" to="(190,130)"/> - <wire from="(600,600)" to="(610,600)"/> - <wire from="(590,920)" to="(590,930)"/> - <wire from="(330,1190)" to="(510,1190)"/> - <wire from="(510,200)" to="(510,210)"/> - <wire from="(220,630)" to="(270,630)"/> - <wire from="(1060,1320)" to="(1060,1520)"/> - <wire from="(170,690)" to="(170,780)"/> - <wire from="(830,200)" to="(830,220)"/> - <wire from="(190,1030)" to="(190,1120)"/> - <wire from="(870,560)" to="(870,580)"/> - <wire from="(210,730)" to="(210,820)"/> - <wire from="(910,920)" to="(910,940)"/> - <wire from="(210,1270)" to="(250,1270)"/> - <wire from="(230,1070)" to="(230,1160)"/> - <wire from="(510,290)" to="(550,290)"/> - <wire from="(180,1310)" to="(280,1310)"/> - <wire from="(550,290)" to="(830,290)"/> - <wire from="(850,650)" to="(870,650)"/> - <wire from="(890,1010)" to="(910,1010)"/> - <wire from="(180,680)" to="(270,680)"/> - <wire from="(1060,870)" to="(1070,870)"/> - <wire from="(220,1620)" to="(240,1620)"/> - <wire from="(590,830)" to="(750,830)"/> - <wire from="(210,1610)" to="(230,1610)"/> - <wire from="(770,780)" to="(770,840)"/> - <wire from="(810,1140)" to="(810,1200)"/> - <wire from="(690,60)" to="(690,120)"/> - <wire from="(730,420)" to="(730,480)"/> - <wire from="(980,150)" to="(990,150)"/> - <wire from="(370,60)" to="(370,120)"/> - <wire from="(1020,510)" to="(1030,510)"/> - <wire from="(410,420)" to="(410,480)"/> - <wire from="(840,970)" to="(850,970)"/> - <wire from="(490,1140)" to="(490,1200)"/> - <wire from="(450,780)" to="(450,840)"/> - <wire from="(920,610)" to="(920,660)"/> - <wire from="(610,1320)" to="(610,1500)"/> - <wire from="(520,330)" to="(530,330)"/> - <wire from="(560,690)" to="(570,690)"/> - <wire from="(600,1050)" to="(610,1050)"/> - <wire from="(880,250)" to="(880,300)"/> - <wire from="(970,470)" to="(970,480)"/> - <wire from="(190,400)" to="(250,400)"/> - <wire from="(220,1080)" to="(270,1080)"/> - <wire from="(470,290)" to="(470,300)"/> - <wire from="(100,1670)" to="(210,1670)"/> - <wire from="(170,780)" to="(280,780)"/> - <wire from="(830,650)" to="(830,670)"/> - <wire from="(870,1010)" to="(870,1030)"/> - <wire from="(210,1180)" to="(210,1270)"/> - <wire from="(240,250)" to="(240,340)"/> - <wire from="(170,1140)" to="(170,1230)"/> - <wire from="(200,210)" to="(200,300)"/> - <wire from="(290,1440)" to="(900,1440)"/> - <wire from="(240,790)" to="(280,790)"/> - <wire from="(470,380)" to="(510,380)"/> - <wire from="(910,200)" to="(930,200)"/> - <wire from="(850,1100)" to="(870,1100)"/> - <wire from="(180,1130)" to="(270,1130)"/> - <wire from="(1090,1670)" to="(1100,1670)"/> - <wire from="(770,1230)" to="(770,1290)"/> - <wire from="(440,60)" to="(450,60)"/> - <wire from="(480,420)" to="(490,420)"/> - <wire from="(650,150)" to="(650,210)"/> - <wire from="(690,510)" to="(690,570)"/> - <wire from="(730,870)" to="(730,930)"/> - <wire from="(110,50)" to="(120,50)"/> - <wire from="(1020,960)" to="(1030,960)"/> - <wire from="(210,1180)" to="(280,1180)"/> - <wire from="(980,600)" to="(990,600)"/> - <wire from="(370,510)" to="(370,570)"/> - <wire from="(800,420)" to="(810,420)"/> - <wire from="(410,870)" to="(410,930)"/> - <wire from="(450,1230)" to="(450,1290)"/> - <wire from="(920,1060)" to="(920,1110)"/> - <wire from="(760,60)" to="(770,60)"/> - <wire from="(520,780)" to="(530,780)"/> - <wire from="(840,340)" to="(840,390)"/> - <wire from="(880,700)" to="(880,750)"/> - <wire from="(560,1140)" to="(570,1140)"/> - <wire from="(510,1100)" to="(510,1110)"/> - <wire from="(800,1320)" to="(800,1520)"/> - <wire from="(930,240)" to="(930,250)"/> - <wire from="(790,740)" to="(790,750)"/> - <wire from="(230,260)" to="(280,260)"/> - <wire from="(170,1230)" to="(280,1230)"/> - <wire from="(210,1670)" to="(700,1670)"/> - <wire from="(180,320)" to="(180,410)"/> - <wire from="(240,700)" to="(240,790)"/> - <wire from="(830,1100)" to="(830,1120)"/> - <wire from="(200,660)" to="(200,750)"/> - <wire from="(220,360)" to="(220,450)"/> - <wire from="(240,1240)" to="(280,1240)"/> - <wire from="(390,110)" to="(430,110)"/> - <wire from="(170,600)" to="(270,600)"/> - <wire from="(220,270)" to="(250,270)"/> - <wire from="(870,290)" to="(890,290)"/> - <wire from="(910,650)" to="(930,650)"/> - <wire from="(270,1610)" to="(290,1610)"/> - <wire from="(1070,60)" to="(1070,120)"/> - <wire from="(440,510)" to="(450,510)"/> - <wire from="(400,150)" to="(410,150)"/> - <wire from="(480,870)" to="(490,870)"/> - <wire from="(610,240)" to="(610,300)"/> - <wire from="(690,960)" to="(690,1020)"/> - <wire from="(650,600)" to="(650,660)"/> - <wire from="(180,320)" to="(250,320)"/> - <wire from="(200,660)" to="(270,660)"/> - <wire from="(980,1050)" to="(990,1050)"/> - <wire from="(690,1600)" to="(690,1650)"/> - <wire from="(800,870)" to="(810,870)"/> - <wire from="(370,960)" to="(370,1020)"/> - <wire from="(720,150)" to="(730,150)"/> - <wire from="(760,510)" to="(770,510)"/> - <wire from="(520,1230)" to="(530,1230)"/> - <wire from="(840,790)" to="(840,840)"/> - <wire from="(880,1150)" to="(880,1200)"/> - <wire from="(750,830)" to="(750,840)"/> - <wire from="(930,690)" to="(930,700)"/> - <wire from="(890,330)" to="(890,340)"/> - <wire from="(190,1300)" to="(250,1300)"/> - <wire from="(210,1000)" to="(270,1000)"/> - <wire from="(230,710)" to="(280,710)"/> - <wire from="(200,1110)" to="(200,1200)"/> - <wire from="(180,770)" to="(180,860)"/> - <wire from="(220,810)" to="(220,900)"/> - <wire from="(230,80)" to="(270,80)"/> - <wire from="(240,1150)" to="(240,1240)"/> - <wire from="(470,1280)" to="(510,1280)"/> - <wire from="(830,380)" to="(850,380)"/> - <wire from="(870,740)" to="(890,740)"/> - <wire from="(910,1100)" to="(930,1100)"/> - <wire from="(930,290)" to="(1080,290)"/> - <wire from="(330,200)" to="(350,200)"/> - <wire from="(1070,510)" to="(1070,570)"/> - <wire from="(400,600)" to="(410,600)"/> - <wire from="(440,960)" to="(450,960)"/> - <wire from="(360,240)" to="(370,240)"/> - <wire from="(1030,150)" to="(1030,210)"/> - <wire from="(570,330)" to="(570,390)"/> - <wire from="(610,690)" to="(610,750)"/> - <wire from="(650,1050)" to="(650,1110)"/> - <wire from="(920,160)" to="(930,160)"/> - <wire from="(680,240)" to="(690,240)"/> - <wire from="(760,960)" to="(770,960)"/> - <wire from="(720,600)" to="(730,600)"/> - <wire from="(930,1190)" to="(1010,1190)"/> - <wire from="(840,1240)" to="(840,1290)"/> - <wire from="(930,1140)" to="(930,1150)"/> - <wire from="(850,420)" to="(850,430)"/> - <wire from="(890,780)" to="(890,790)"/> - <wire from="(230,1160)" to="(280,1160)"/> - <wire from="(350,380)" to="(470,380)"/> - <wire from="(430,1280)" to="(430,1290)"/> - <wire from="(180,1220)" to="(180,1310)"/> - <wire from="(220,1260)" to="(220,1350)"/> - <wire from="(210,190)" to="(250,190)"/> - <wire from="(830,830)" to="(850,830)"/> - <wire from="(870,1190)" to="(890,1190)"/> - <wire from="(90,80)" to="(120,80)"/> - <wire from="(370,1320)" to="(400,1320)"/> - <wire from="(930,740)" to="(1080,740)"/> - <wire from="(1070,960)" to="(1070,1020)"/> - <wire from="(400,1050)" to="(410,1050)"/> - <wire from="(810,60)" to="(810,120)"/> - <wire from="(170,240)" to="(250,240)"/> - <wire from="(1030,600)" to="(1030,660)"/> - <wire from="(990,240)" to="(990,300)"/> - <wire from="(360,690)" to="(370,690)"/> - <wire from="(530,420)" to="(530,480)"/> - <wire from="(570,780)" to="(570,840)"/> - <wire from="(610,1140)" to="(610,1200)"/> - <wire from="(920,610)" to="(930,610)"/> - <wire from="(490,60)" to="(490,120)"/> - <wire from="(880,250)" to="(890,250)"/> - <wire from="(680,690)" to="(690,690)"/> - <wire from="(720,1050)" to="(730,1050)"/> - <wire from="(640,330)" to="(650,330)"/> - <wire from="(670,1010)" to="(670,1020)"/> - <wire from="(180,70)" to="(180,140)"/> - <wire from="(890,1230)" to="(890,1240)"/> - <wire from="(850,870)" to="(850,880)"/> - <wire from="(200,300)" to="(250,300)"/> - <wire from="(870,1400)" to="(870,1490)"/> - <wire from="(190,400)" to="(190,490)"/> - <wire from="(230,440)" to="(230,530)"/> - <wire from="(910,290)" to="(910,310)"/> - <wire from="(210,100)" to="(210,190)"/> - <wire from="(830,1280)" to="(850,1280)"/> - <wire from="(890,380)" to="(910,380)"/> - <wire from="(120,1650)" to="(280,1650)"/> - <wire from="(1060,240)" to="(1070,240)"/> - <wire from="(1030,1050)" to="(1030,1110)"/> - <wire from="(810,510)" to="(810,570)"/> - <wire from="(360,1140)" to="(370,1140)"/> - <wire from="(990,690)" to="(990,750)"/> - <wire from="(530,870)" to="(530,930)"/> - <wire from="(570,1230)" to="(570,1290)"/> - <wire from="(770,150)" to="(770,210)"/> - <wire from="(920,1060)" to="(930,1060)"/> - <wire from="(450,150)" to="(450,210)"/> - <wire from="(840,340)" to="(850,340)"/> - <wire from="(880,700)" to="(890,700)"/> - <wire from="(490,510)" to="(490,570)"/> - <wire from="(680,1140)" to="(690,1140)"/> - <wire from="(640,780)" to="(650,780)"/> - <wire from="(600,1320)" to="(600,1500)"/> - <wire from="(560,60)" to="(570,60)"/> - <wire from="(600,420)" to="(610,420)"/> - <wire from="(550,380)" to="(550,390)"/> - <wire from="(330,1010)" to="(510,1010)"/> - <wire from="(830,1360)" to="(830,1490)"/> - <wire from="(170,510)" to="(170,600)"/> - <wire from="(190,850)" to="(190,940)"/> - <wire from="(210,550)" to="(210,640)"/> - <wire from="(230,890)" to="(230,980)"/> - <wire from="(870,380)" to="(870,400)"/> - <wire from="(910,740)" to="(910,760)"/> - <wire from="(240,160)" to="(280,160)"/> - <wire from="(510,110)" to="(550,110)"/> - <wire from="(550,110)" to="(830,110)"/> - <wire from="(850,470)" to="(870,470)"/> - <wire from="(890,830)" to="(910,830)"/> - <wire from="(180,500)" to="(270,500)"/> - <wire from="(1060,690)" to="(1070,690)"/> - <wire from="(810,960)" to="(810,1020)"/> - <wire from="(330,740)" to="(790,740)"/> - <wire from="(520,150)" to="(530,150)"/> - <wire from="(990,1140)" to="(990,1200)"/> - <wire from="(770,600)" to="(770,660)"/> - <wire from="(730,240)" to="(730,300)"/> - <wire from="(1020,330)" to="(1030,330)"/> - <wire from="(410,240)" to="(410,300)"/> - <wire from="(840,790)" to="(850,790)"/> - <wire from="(490,960)" to="(490,1020)"/> - <wire from="(450,600)" to="(450,660)"/> - <wire from="(880,1150)" to="(890,1150)"/> - <wire from="(670,1580)" to="(680,1580)"/> - <wire from="(640,1230)" to="(650,1230)"/> - <wire from="(920,430)" to="(920,480)"/> - <wire from="(560,510)" to="(570,510)"/> - <wire from="(600,870)" to="(610,870)"/> - <wire from="(880,70)" to="(880,120)"/> - <wire from="(230,1540)" to="(290,1540)"/> - <wire from="(190,220)" to="(250,220)"/> - <wire from="(220,900)" to="(270,900)"/> - <wire from="(200,1200)" to="(250,1200)"/> - <wire from="(470,110)" to="(470,120)"/> - <wire from="(1070,1670)" to="(1070,1700)"/> - <wire from="(830,470)" to="(830,490)"/> - <wire from="(870,830)" to="(870,850)"/> - <wire from="(190,1300)" to="(190,1390)"/> - <wire from="(170,960)" to="(170,1050)"/> - <wire from="(210,1000)" to="(210,1090)"/> - <wire from="(240,70)" to="(240,160)"/> - <wire from="(910,1190)" to="(910,1210)"/> - <wire from="(240,610)" to="(280,610)"/> - <wire from="(470,200)" to="(510,200)"/> - <wire from="(850,920)" to="(870,920)"/> - <wire from="(890,1280)" to="(910,1280)"/> - <wire from="(180,950)" to="(270,950)"/> - <wire from="(1060,1140)" to="(1070,1140)"/> - <wire from="(980,1320)" to="(980,1480)"/> - <wire from="(770,1050)" to="(770,1110)"/> - <wire from="(480,240)" to="(490,240)"/> - <wire from="(270,1630)" to="(280,1630)"/> - <wire from="(690,330)" to="(690,390)"/> - <wire from="(730,690)" to="(730,750)"/> - <wire from="(1020,780)" to="(1030,780)"/> - <wire from="(370,330)" to="(370,390)"/> - <wire from="(980,420)" to="(990,420)"/> - <wire from="(800,240)" to="(810,240)"/> - <wire from="(410,690)" to="(410,750)"/> - <wire from="(450,1050)" to="(450,1110)"/> - <wire from="(840,1240)" to="(850,1240)"/> - <wire from="(920,880)" to="(920,930)"/> - <wire from="(520,600)" to="(530,600)"/> - <wire from="(560,960)" to="(570,960)"/> - <wire from="(840,160)" to="(840,210)"/> - <wire from="(880,520)" to="(880,570)"/> - <wire from="(930,60)" to="(930,70)"/> - <wire from="(210,370)" to="(270,370)"/> - <wire from="(430,200)" to="(430,210)"/> - <wire from="(230,1600)" to="(230,1610)"/> - <wire from="(170,1050)" to="(280,1050)"/> - <wire from="(930,470)" to="(970,470)"/> - <wire from="(180,140)" to="(180,230)"/> - <wire from="(830,920)" to="(830,940)"/> - <wire from="(200,480)" to="(200,570)"/> - <wire from="(240,520)" to="(240,610)"/> - <wire from="(220,180)" to="(220,270)"/> - <wire from="(870,1280)" to="(870,1300)"/> - <wire from="(240,1060)" to="(280,1060)"/> - <wire from="(870,110)" to="(890,110)"/> - <wire from="(220,90)" to="(250,90)"/> - <wire from="(140,1640)" to="(1130,1640)"/> - <wire from="(910,470)" to="(930,470)"/> - <wire from="(1100,1510)" to="(1100,1560)"/> - <wire from="(1020,1230)" to="(1030,1230)"/> - <wire from="(850,1320)" to="(850,1490)"/> - <wire from="(720,1560)" to="(740,1560)"/> - <wire from="(440,330)" to="(450,330)"/> - <wire from="(480,690)" to="(490,690)"/> - <wire from="(610,60)" to="(610,120)"/> - <wire from="(690,780)" to="(690,840)"/> - <wire from="(650,420)" to="(650,480)"/> - <wire from="(730,1140)" to="(730,1200)"/> - <wire from="(180,140)" to="(250,140)"/> - <wire from="(980,870)" to="(990,870)"/> - <wire from="(370,780)" to="(370,840)"/> - <wire from="(410,1140)" to="(410,1200)"/> - <wire from="(800,690)" to="(810,690)"/> - <wire from="(760,330)" to="(770,330)"/> - <wire from="(520,1050)" to="(530,1050)"/> - <wire from="(840,610)" to="(840,660)"/> - <wire from="(880,970)" to="(880,1020)"/> - <wire from="(930,510)" to="(930,520)"/> - <wire from="(890,150)" to="(890,160)"/> - <wire from="(190,1120)" to="(250,1120)"/> - <wire from="(210,820)" to="(270,820)"/> - <wire from="(230,530)" to="(280,530)"/> - <wire from="(420,1600)" to="(420,1620)"/> - <wire from="(200,930)" to="(200,1020)"/> - <wire from="(180,590)" to="(180,680)"/> - <wire from="(220,630)" to="(220,720)"/> - <wire from="(930,1470)" to="(930,1490)"/> - <wire from="(300,1480)" to="(300,1510)"/> - <wire from="(240,970)" to="(240,1060)"/> - <wire from="(830,200)" to="(850,200)"/> - <wire from="(220,540)" to="(250,540)"/> - <wire from="(870,560)" to="(890,560)"/> - <wire from="(910,920)" to="(930,920)"/> - <wire from="(930,110)" to="(1080,110)"/> - <wire from="(1070,330)" to="(1070,390)"/> - <wire from="(400,420)" to="(410,420)"/> - <wire from="(480,1140)" to="(490,1140)"/> - <wire from="(440,780)" to="(450,780)"/> - <wire from="(360,60)" to="(370,60)"/> - <wire from="(570,150)" to="(570,210)"/> - <wire from="(610,510)" to="(610,570)"/> - <wire from="(650,870)" to="(650,930)"/> - <wire from="(690,1230)" to="(690,1290)"/> - <wire from="(800,1140)" to="(810,1140)"/> - <wire from="(370,1230)" to="(370,1290)"/> - <wire from="(750,830)" to="(830,830)"/> - <wire from="(680,60)" to="(690,60)"/> - <wire from="(760,780)" to="(770,780)"/> - <wire from="(720,420)" to="(730,420)"/> - <wire from="(840,1060)" to="(840,1110)"/> - <wire from="(330,110)" to="(390,110)"/> - <wire from="(930,960)" to="(930,970)"/> - <wire from="(930,1100)" to="(1050,1100)"/> - <wire from="(850,240)" to="(850,250)"/> - <wire from="(890,600)" to="(890,610)"/> - <wire from="(510,1190)" to="(830,1190)"/> - <wire from="(250,280)" to="(250,290)"/> - <wire from="(230,980)" to="(280,980)"/> - <wire from="(350,380)" to="(350,390)"/> - <wire from="(170,70)" to="(170,150)"/> - <wire from="(220,1080)" to="(220,1170)"/> - <wire from="(180,1040)" to="(180,1130)"/> - <wire from="(830,650)" to="(850,650)"/> - <wire from="(870,1010)" to="(890,1010)"/> - <wire from="(930,560)" to="(1080,560)"/> - <wire from="(1070,780)" to="(1070,840)"/> - <wire from="(400,870)" to="(410,870)"/> - <wire from="(440,1230)" to="(450,1230)"/> - <wire from="(330,290)" to="(470,290)"/> - <wire from="(1030,420)" to="(1030,480)"/> - <wire from="(360,510)" to="(370,510)"/> - <wire from="(990,60)" to="(990,120)"/> - <wire from="(530,240)" to="(530,300)"/> - <wire from="(570,600)" to="(570,660)"/> - <wire from="(610,960)" to="(610,1020)"/> - <wire from="(920,430)" to="(930,430)"/> - <wire from="(760,1230)" to="(770,1230)"/> - <wire from="(880,70)" to="(890,70)"/> - <wire from="(720,1320)" to="(720,1560)"/> - <wire from="(680,510)" to="(690,510)"/> - <wire from="(720,870)" to="(730,870)"/> - <wire from="(420,1620)" to="(1130,1620)"/> - <wire from="(640,150)" to="(650,150)"/> - <wire from="(630,470)" to="(630,480)"/> - <wire from="(890,1050)" to="(890,1060)"/> - <wire from="(850,690)" to="(850,700)"/> - <wire from="(230,70)" to="(230,80)"/> - <wire from="(200,120)" to="(250,120)"/> - <wire from="(190,220)" to="(190,310)"/> - <wire from="(910,110)" to="(910,130)"/> - <wire from="(230,260)" to="(230,350)"/> - <wire from="(210,460)" to="(250,460)"/> - <wire from="(390,1280)" to="(430,1280)"/> - <wire from="(890,200)" to="(910,200)"/> - <wire from="(830,1100)" to="(850,1100)"/> - <wire from="(920,1400)" to="(920,1440)"/> - <wire from="(1060,60)" to="(1070,60)"/> - <wire from="(270,1380)" to="(480,1380)"/> - <wire from="(1070,1230)" to="(1070,1290)"/> - <wire from="(1030,870)" to="(1030,930)"/> - <wire from="(810,330)" to="(810,390)"/> - <wire from="(630,470)" to="(830,470)"/> - <wire from="(280,1520)" to="(290,1520)"/> - <wire from="(360,960)" to="(370,960)"/> - <wire from="(990,510)" to="(990,570)"/> - <wire from="(530,690)" to="(530,750)"/> - <wire from="(570,1050)" to="(570,1110)"/> - <wire from="(920,880)" to="(930,880)"/> - <wire from="(330,560)" to="(590,560)"/> - <wire from="(840,160)" to="(850,160)"/> - <wire from="(490,330)" to="(490,390)"/> - <wire from="(880,520)" to="(890,520)"/> - <wire from="(680,960)" to="(690,960)"/> - <wire from="(640,600)" to="(650,600)"/> - <wire from="(600,240)" to="(610,240)"/> - <wire from="(550,200)" to="(550,210)"/> - <wire from="(630,920)" to="(630,930)"/> - <wire from="(590,560)" to="(590,570)"/> - <wire from="(850,1140)" to="(850,1150)"/> - <wire from="(200,570)" to="(250,570)"/> - <wire from="(350,1280)" to="(350,1290)"/> - <wire from="(630,1010)" to="(670,1010)"/> - <wire from="(170,330)" to="(170,420)"/> - <wire from="(190,670)" to="(190,760)"/> - <wire from="(870,200)" to="(870,220)"/> - <wire from="(230,710)" to="(230,800)"/> - <wire from="(210,370)" to="(210,460)"/> - <wire from="(910,560)" to="(910,580)"/> - <wire from="(890,650)" to="(910,650)"/> - <wire from="(850,290)" to="(870,290)"/> - <wire from="(1060,510)" to="(1070,510)"/> - <wire from="(100,70)" to="(100,110)"/> - <wire from="(610,1320)" to="(640,1320)"/> - <wire from="(520,1320)" to="(520,1420)"/> - <wire from="(250,1550)" to="(530,1550)"/> - <wire from="(930,1450)" to="(1090,1450)"/> - <wire from="(810,780)" to="(810,840)"/> - <wire from="(630,920)" to="(830,920)"/> - <wire from="(990,960)" to="(990,1020)"/> - <wire from="(530,1140)" to="(530,1200)"/> - <wire from="(730,60)" to="(730,120)"/> - <wire from="(770,420)" to="(770,480)"/> - <wire from="(1020,150)" to="(1030,150)"/> - <wire from="(410,60)" to="(410,120)"/> - <wire from="(450,420)" to="(450,480)"/> - <wire from="(880,970)" to="(890,970)"/> - <wire from="(840,610)" to="(850,610)"/> - <wire from="(490,780)" to="(490,840)"/> - <wire from="(920,250)" to="(920,300)"/> - <wire from="(640,1050)" to="(650,1050)"/> - <wire from="(530,1580)" to="(540,1580)"/> - <wire from="(560,330)" to="(570,330)"/> - <wire from="(600,690)" to="(610,690)"/> - <wire from="(220,720)" to="(270,720)"/> - <wire from="(200,1020)" to="(250,1020)"/> - <wire from="(510,290)" to="(510,300)"/> - <wire from="(220,1600)" to="(220,1620)"/> - <wire from="(170,780)" to="(170,870)"/> - <wire from="(830,290)" to="(830,310)"/> - <wire from="(870,650)" to="(870,670)"/> - <wire from="(210,820)" to="(210,910)"/> - <wire from="(190,1120)" to="(190,1210)"/> - <wire from="(910,1010)" to="(910,1030)"/> - <wire from="(240,430)" to="(280,430)"/> - <wire from="(220,1370)" to="(260,1370)"/> - <wire from="(230,1160)" to="(230,1250)"/> - <wire from="(510,380)" to="(550,380)"/> - <wire from="(550,380)" to="(830,380)"/> - <wire from="(850,740)" to="(870,740)"/> - <wire from="(890,1100)" to="(910,1100)"/> - <wire from="(180,770)" to="(270,770)"/> - <wire from="(1060,960)" to="(1070,960)"/> - <wire from="(1040,1580)" to="(1050,1580)"/> - <wire from="(810,1230)" to="(810,1290)"/> - <wire from="(770,870)" to="(770,930)"/> - <wire from="(480,60)" to="(490,60)"/> - <wire from="(490,1230)" to="(490,1290)"/> - <wire from="(330,1570)" to="(410,1570)"/> - <wire from="(690,150)" to="(690,210)"/> - <wire from="(730,510)" to="(730,570)"/> - <wire from="(930,1470)" to="(940,1470)"/> - <wire from="(980,240)" to="(990,240)"/> - <wire from="(1020,600)" to="(1030,600)"/> - <wire from="(370,150)" to="(370,210)"/> - <wire from="(800,60)" to="(810,60)"/> - <wire from="(410,510)" to="(410,570)"/> - <wire from="(450,870)" to="(450,930)"/> - <wire from="(840,1060)" to="(850,1060)"/> - <wire from="(920,700)" to="(920,750)"/> - <wire from="(560,780)" to="(570,780)"/> - <wire from="(520,420)" to="(530,420)"/> - <wire from="(880,340)" to="(880,390)"/> - <wire from="(600,1140)" to="(610,1140)"/> - <wire from="(190,490)" to="(250,490)"/> - <wire from="(470,380)" to="(470,390)"/> - <wire from="(170,870)" to="(280,870)"/> - <wire from="(210,1270)" to="(210,1350)"/> - <wire from="(830,740)" to="(830,760)"/> - <wire from="(170,1230)" to="(170,1320)"/> - <wire from="(200,300)" to="(200,390)"/> - <wire from="(870,1100)" to="(870,1120)"/> - <wire from="(240,340)" to="(240,430)"/> - <wire from="(240,880)" to="(280,880)"/> - <wire from="(430,110)" to="(470,110)"/> - <wire from="(1070,1700)" to="(1120,1700)"/> - <wire from="(910,290)" to="(930,290)"/> - <wire from="(850,1190)" to="(870,1190)"/> - <wire from="(240,250)" to="(270,250)"/> - <wire from="(280,1650)" to="(690,1650)"/> - <wire from="(180,1220)" to="(270,1220)"/> - <wire from="(440,150)" to="(450,150)"/> - <wire from="(480,510)" to="(490,510)"/> - <wire from="(200,930)" to="(280,930)"/> - <wire from="(650,240)" to="(650,300)"/> - <wire from="(690,600)" to="(690,660)"/> - <wire from="(730,960)" to="(730,1020)"/> - <wire from="(980,690)" to="(990,690)"/> - <wire from="(370,600)" to="(370,660)"/> - <wire from="(1020,1050)" to="(1030,1050)"/> - <wire from="(800,510)" to="(810,510)"/> - <wire from="(410,960)" to="(410,1020)"/> - <wire from="(920,1150)" to="(920,1200)"/> - <wire from="(760,150)" to="(770,150)"/> - <wire from="(520,870)" to="(530,870)"/> - <wire from="(840,430)" to="(840,480)"/> - <wire from="(880,790)" to="(880,840)"/> - <wire from="(560,1230)" to="(570,1230)"/> - <wire from="(510,1010)" to="(630,1010)"/> - <wire from="(510,1190)" to="(510,1200)"/> - <wire from="(930,330)" to="(930,340)"/> - <wire from="(210,640)" to="(270,640)"/> - <wire from="(230,350)" to="(280,350)"/> - <wire from="(390,110)" to="(390,120)"/> - <wire from="(1090,1450)" to="(1090,1480)"/> - <wire from="(170,1320)" to="(280,1320)"/> - <wire from="(290,1610)" to="(290,1630)"/> - <wire from="(180,410)" to="(180,500)"/> - <wire from="(220,450)" to="(220,540)"/> - <wire from="(200,750)" to="(200,840)"/> - <wire from="(830,1190)" to="(830,1210)"/> - <wire from="(240,790)" to="(240,880)"/> - <wire from="(870,380)" to="(890,380)"/> - <wire from="(910,740)" to="(930,740)"/> - <wire from="(510,1100)" to="(670,1100)"/> - <wire from="(1070,150)" to="(1070,210)"/> - <wire from="(400,240)" to="(410,240)"/> - <wire from="(440,600)" to="(450,600)"/> - <wire from="(480,960)" to="(490,960)"/> - <wire from="(610,330)" to="(610,390)"/> - <wire from="(650,690)" to="(650,750)"/> - <wire from="(690,1050)" to="(690,1110)"/> - <wire from="(180,410)" to="(250,410)"/> - <wire from="(980,1140)" to="(990,1140)"/> - <wire from="(370,1050)" to="(370,1110)"/> - <wire from="(800,960)" to="(810,960)"/> - <wire from="(720,240)" to="(730,240)"/> - <wire from="(760,600)" to="(770,600)"/> - <wire from="(880,1240)" to="(880,1290)"/> - <wire from="(840,880)" to="(840,930)"/> - <wire from="(930,780)" to="(930,790)"/> - <wire from="(850,60)" to="(850,70)"/> - <wire from="(890,420)" to="(890,430)"/> - <wire from="(470,1280)" to="(470,1290)"/> - <wire from="(230,800)" to="(280,800)"/> - <wire from="(350,200)" to="(350,210)"/> - <wire from="(220,70)" to="(220,90)"/> - <wire from="(180,860)" to="(180,950)"/> - <wire from="(200,1200)" to="(200,1290)"/> - <wire from="(220,900)" to="(220,990)"/> - <wire from="(230,170)" to="(270,170)"/> - <wire from="(240,1240)" to="(240,1330)"/> - <wire from="(830,470)" to="(850,470)"/> - <wire from="(140,70)" to="(140,1640)"/> - <wire from="(870,830)" to="(890,830)"/> - <wire from="(910,1190)" to="(930,1190)"/> - <wire from="(930,380)" to="(1080,380)"/> - <wire from="(190,850)" to="(280,850)"/> - <wire from="(940,1430)" to="(940,1470)"/> - <wire from="(1070,600)" to="(1070,660)"/> - <wire from="(930,1010)" to="(1090,1010)"/> - <wire from="(400,690)" to="(410,690)"/> - <wire from="(440,1050)" to="(450,1050)"/> - <wire from="(1030,240)" to="(1030,300)"/> - <wire from="(360,330)" to="(370,330)"/> - <wire from="(570,420)" to="(570,480)"/> - <wire from="(530,60)" to="(530,120)"/> - <wire from="(610,780)" to="(610,840)"/> - <wire from="(650,1140)" to="(650,1200)"/> - <wire from="(920,250)" to="(930,250)"/> - <wire from="(760,1050)" to="(770,1050)"/> - <wire from="(680,330)" to="(690,330)"/> - <wire from="(720,690)" to="(730,690)"/> - <wire from="(930,1230)" to="(930,1240)"/> - <wire from="(890,870)" to="(890,880)"/> - <wire from="(850,510)" to="(850,520)"/> - <wire from="(230,1250)" to="(280,1250)"/> - <wire from="(90,70)" to="(90,80)"/> - <wire from="(1050,1100)" to="(1110,1100)"/> - <wire from="(230,80)" to="(230,170)"/> - <wire from="(210,280)" to="(250,280)"/> - <wire from="(870,1280)" to="(890,1280)"/> - <wire from="(220,1260)" to="(250,1260)"/> - <wire from="(830,920)" to="(850,920)"/> - <wire from="(930,830)" to="(1080,830)"/> - <wire from="(1070,1050)" to="(1070,1110)"/> - <wire from="(810,150)" to="(810,210)"/> - <wire from="(400,1140)" to="(410,1140)"/> - <wire from="(170,330)" to="(250,330)"/> - <wire from="(190,670)" to="(270,670)"/> - <wire from="(990,330)" to="(990,390)"/> - <wire from="(360,780)" to="(370,780)"/> - <wire from="(1030,690)" to="(1030,750)"/> - <wire from="(530,510)" to="(530,570)"/> - <wire from="(610,1230)" to="(610,1290)"/> - <wire from="(200,1580)" to="(210,1580)"/> - <wire from="(570,870)" to="(570,930)"/> - <wire from="(920,700)" to="(930,700)"/> - <wire from="(880,340)" to="(890,340)"/> - <wire from="(490,150)" to="(490,210)"/> - <wire from="(180,1310)" to="(180,1430)"/> - <wire from="(680,780)" to="(690,780)"/> - <wire from="(720,1140)" to="(730,1140)"/> - <wire from="(640,420)" to="(650,420)"/> - <wire from="(600,60)" to="(610,60)"/> - <wire from="(670,1100)" to="(670,1110)"/> - <wire from="(850,960)" to="(850,970)"/> - <wire from="(200,390)" to="(250,390)"/> - <wire from="(590,470)" to="(630,470)"/> - <wire from="(170,150)" to="(170,240)"/> - <wire from="(230,530)" to="(230,620)"/> - <wire from="(910,380)" to="(910,400)"/> - <wire from="(190,490)" to="(190,580)"/> - <wire from="(210,190)" to="(210,280)"/> - <wire from="(300,1480)" to="(980,1480)"/> - <wire from="(850,110)" to="(870,110)"/> - <wire from="(890,470)" to="(910,470)"/> - <wire from="(930,1280)" to="(1080,1280)"/> - <wire from="(1060,330)" to="(1070,330)"/> - <wire from="(670,1010)" to="(830,1010)"/> - <wire from="(1030,1140)" to="(1030,1200)"/> - <wire from="(360,1230)" to="(370,1230)"/> - <wire from="(810,600)" to="(810,660)"/> - <wire from="(990,780)" to="(990,840)"/> - <wire from="(530,960)" to="(530,1020)"/> - <wire from="(770,240)" to="(770,300)"/> - <wire from="(920,1150)" to="(930,1150)"/> - <wire from="(330,830)" to="(590,830)"/> - <wire from="(840,430)" to="(850,430)"/> - <wire from="(450,240)" to="(450,300)"/> - <wire from="(490,600)" to="(490,660)"/> - <wire from="(880,790)" to="(890,790)"/> - <wire from="(640,870)" to="(650,870)"/> - <wire from="(680,1230)" to="(690,1230)"/> - <wire from="(720,1600)" to="(1050,1600)"/> - <wire from="(560,150)" to="(570,150)"/> - <wire from="(920,70)" to="(920,120)"/> - <wire from="(600,510)" to="(610,510)"/> - <wire from="(330,650)" to="(710,650)"/> - <wire from="(270,1420)" to="(520,1420)"/> - <wire from="(380,1330)" to="(440,1330)"/> - <wire from="(590,830)" to="(590,840)"/> - <wire from="(700,1670)" to="(1070,1670)"/> - <wire from="(220,1170)" to="(280,1170)"/> - <wire from="(330,1100)" to="(510,1100)"/> - <wire from="(120,40)" to="(120,50)"/> - <wire from="(440,1320)" to="(440,1330)"/> - <wire from="(510,110)" to="(510,120)"/> - <wire from="(590,920)" to="(630,920)"/> - <wire from="(830,110)" to="(830,130)"/> - <wire from="(170,600)" to="(170,690)"/> - <wire from="(870,470)" to="(870,490)"/> - <wire from="(190,940)" to="(190,1030)"/> - <wire from="(230,980)" to="(230,1070)"/> - <wire from="(210,640)" to="(210,730)"/> - <wire from="(910,830)" to="(910,850)"/> - <wire from="(910,1470)" to="(910,1490)"/> - <wire from="(510,200)" to="(550,200)"/> - <wire from="(550,200)" to="(830,200)"/> - <wire from="(890,920)" to="(910,920)"/> - <wire from="(850,560)" to="(870,560)"/> - <wire from="(1060,780)" to="(1070,780)"/> - <wire from="(80,110)" to="(100,110)"/> - <wire from="(330,1530)" to="(330,1570)"/> - <wire from="(810,1050)" to="(810,1110)"/> - <wire from="(520,240)" to="(530,240)"/> - <wire from="(990,1230)" to="(990,1290)"/> - <wire from="(730,330)" to="(730,390)"/> - <wire from="(770,690)" to="(770,750)"/> - <wire from="(980,60)" to="(990,60)"/> - <wire from="(1020,420)" to="(1030,420)"/> - <wire from="(410,330)" to="(410,390)"/> - <wire from="(450,690)" to="(450,750)"/> - <wire from="(880,1240)" to="(890,1240)"/> - <wire from="(840,880)" to="(850,880)"/> - <wire from="(490,1050)" to="(490,1110)"/> - <wire from="(920,520)" to="(920,570)"/> - <wire from="(560,600)" to="(570,600)"/> - <wire from="(880,160)" to="(880,210)"/> - <wire from="(600,960)" to="(610,960)"/> - <wire from="(190,310)" to="(250,310)"/> - <wire from="(470,200)" to="(470,210)"/> - <wire from="(200,1290)" to="(250,1290)"/> - <wire from="(170,690)" to="(280,690)"/> - <wire from="(830,560)" to="(830,580)"/> - <wire from="(170,1050)" to="(170,1140)"/> - <wire from="(200,120)" to="(200,210)"/> - <wire from="(210,1090)" to="(210,1180)"/> - <wire from="(240,160)" to="(240,250)"/> - <wire from="(870,920)" to="(870,940)"/> - <wire from="(1050,1100)" to="(1050,1110)"/> - <wire from="(910,1280)" to="(910,1300)"/> - <wire from="(240,700)" to="(280,700)"/> - <wire from="(470,290)" to="(510,290)"/> - <wire from="(910,110)" to="(930,110)"/> - <wire from="(850,1010)" to="(870,1010)"/> - <wire from="(240,70)" to="(270,70)"/> - <wire from="(890,1320)" to="(890,1490)"/> - <wire from="(180,1040)" to="(270,1040)"/> - <wire from="(1060,1230)" to="(1070,1230)"/> - <wire from="(770,1140)" to="(770,1200)"/> - <wire from="(480,330)" to="(490,330)"/> - <wire from="(200,750)" to="(280,750)"/> - <wire from="(650,60)" to="(650,120)"/> - <wire from="(690,420)" to="(690,480)"/> - <wire from="(730,780)" to="(730,840)"/> - <wire from="(370,420)" to="(370,480)"/> - <wire from="(980,510)" to="(990,510)"/> - <wire from="(210,1090)" to="(280,1090)"/> - <wire from="(1020,870)" to="(1030,870)"/> - <wire from="(800,330)" to="(810,330)"/> - <wire from="(410,780)" to="(410,840)"/> - <wire from="(450,1140)" to="(450,1200)"/> - <wire from="(920,970)" to="(920,1020)"/> - <wire from="(560,1050)" to="(570,1050)"/> - <wire from="(840,250)" to="(840,300)"/> - <wire from="(520,690)" to="(530,690)"/> - <wire from="(880,610)" to="(880,660)"/> - <wire from="(510,1010)" to="(510,1020)"/> - <wire from="(930,150)" to="(930,160)"/> - <wire from="(1010,1190)" to="(1010,1200)"/> - <wire from="(200,1410)" to="(260,1410)"/> - <wire from="(170,1140)" to="(280,1140)"/> - <wire from="(790,740)" to="(830,740)"/> - <wire from="(180,230)" to="(180,320)"/> - <wire from="(830,1010)" to="(830,1030)"/> - <wire from="(200,570)" to="(200,660)"/> - <wire from="(220,270)" to="(220,360)"/> - <wire from="(240,610)" to="(240,700)"/> - <wire from="(240,1150)" to="(280,1150)"/> - <wire from="(210,70)" to="(210,100)"/> - <wire from="(170,510)" to="(270,510)"/> - <wire from="(870,200)" to="(890,200)"/> - <wire from="(220,180)" to="(250,180)"/> - <wire from="(910,560)" to="(930,560)"/> - <wire from="(1020,1320)" to="(1020,1630)"/> - <wire from="(400,60)" to="(410,60)"/> - <wire from="(440,420)" to="(450,420)"/> - <wire from="(480,780)" to="(490,780)"/> - <wire from="(610,150)" to="(610,210)"/> - <wire from="(210,1470)" to="(220,1470)"/> - <wire from="(650,510)" to="(650,570)"/> - <wire from="(690,870)" to="(690,930)"/> - <wire from="(730,1230)" to="(730,1290)"/> - <wire from="(180,230)" to="(250,230)"/> - <wire from="(980,960)" to="(990,960)"/> - <wire from="(800,780)" to="(810,780)"/> - <wire from="(370,870)" to="(370,930)"/> - <wire from="(410,1230)" to="(410,1290)"/> - <wire from="(720,60)" to="(730,60)"/> - <wire from="(760,420)" to="(770,420)"/> - <wire from="(520,1140)" to="(530,1140)"/> - <wire from="(880,1060)" to="(880,1110)"/> - <wire from="(840,700)" to="(840,750)"/> - <wire from="(1090,1590)" to="(1090,1670)"/> - <wire from="(760,1320)" to="(760,1520)"/> - <wire from="(930,600)" to="(930,610)"/> - <wire from="(210,910)" to="(270,910)"/> - <wire from="(890,240)" to="(890,250)"/> - <wire from="(190,1210)" to="(250,1210)"/> - <wire from="(200,1550)" to="(250,1550)"/> - <wire from="(230,620)" to="(280,620)"/> - <wire from="(180,680)" to="(180,770)"/> - <wire from="(200,1020)" to="(200,1110)"/> - <wire from="(220,720)" to="(220,810)"/> - <wire from="(220,1490)" to="(220,1520)"/> - <wire from="(970,470)" to="(1080,470)"/> - <wire from="(240,1060)" to="(240,1150)"/> - <wire from="(830,290)" to="(850,290)"/> - <wire from="(870,650)" to="(890,650)"/> - <wire from="(910,1010)" to="(930,1010)"/> - <wire from="(930,200)" to="(1080,200)"/> - <wire from="(1070,420)" to="(1070,480)"/> - <wire from="(400,510)" to="(410,510)"/> - <wire from="(440,870)" to="(450,870)"/> - <wire from="(480,1230)" to="(490,1230)"/> - <wire from="(1030,60)" to="(1030,120)"/> - <wire from="(360,150)" to="(370,150)"/> - <wire from="(570,240)" to="(570,300)"/> - <wire from="(610,600)" to="(610,660)"/> - <wire from="(1010,1190)" to="(1080,1190)"/> - <wire from="(350,200)" to="(430,200)"/> - <wire from="(930,920)" to="(1130,920)"/> - <wire from="(650,960)" to="(650,1020)"/> - <wire from="(800,1230)" to="(810,1230)"/> - <wire from="(920,70)" to="(930,70)"/> - <wire from="(680,150)" to="(690,150)"/> - <wire from="(720,510)" to="(730,510)"/> - <wire from="(760,870)" to="(770,870)"/> - <wire from="(840,1150)" to="(840,1200)"/> - <wire from="(710,650)" to="(830,650)"/> - <wire from="(930,1050)" to="(930,1060)"/> - <wire from="(890,690)" to="(890,700)"/> - <wire from="(850,330)" to="(850,340)"/> - <wire from="(510,1280)" to="(830,1280)"/> - <wire from="(230,1070)" to="(280,1070)"/> - <wire from="(180,1130)" to="(180,1220)"/> - <wire from="(220,1170)" to="(220,1260)"/> - <wire from="(210,100)" to="(250,100)"/> - <wire from="(430,1280)" to="(470,1280)"/> - <wire from="(830,740)" to="(850,740)"/> - <wire from="(870,1100)" to="(890,1100)"/> - <wire from="(290,1360)" to="(830,1360)"/> - <wire from="(930,650)" to="(1080,650)"/> - <wire from="(710,1580)" to="(730,1580)"/> - <wire from="(1070,870)" to="(1070,930)"/> - <wire from="(400,960)" to="(410,960)"/> - <wire from="(690,1650)" to="(1080,1650)"/> - <wire from="(170,150)" to="(250,150)"/> - <wire from="(990,150)" to="(990,210)"/> - <wire from="(1030,510)" to="(1030,570)"/> - <wire from="(360,600)" to="(370,600)"/> - <wire from="(570,690)" to="(570,750)"/> - <wire from="(530,330)" to="(530,390)"/> - <wire from="(610,1050)" to="(610,1110)"/> - <wire from="(120,40)" to="(130,40)"/> - <wire from="(920,520)" to="(930,520)"/> - <wire from="(880,160)" to="(890,160)"/> - <wire from="(680,600)" to="(690,600)"/> - <wire from="(720,960)" to="(730,960)"/> - <wire from="(640,240)" to="(650,240)"/> - <wire from="(210,1610)" to="(210,1670)"/> - <wire from="(630,560)" to="(630,570)"/> - <wire from="(890,1140)" to="(890,1150)"/> - <wire from="(850,780)" to="(850,790)"/> - <wire from="(390,1280)" to="(390,1290)"/> - <wire from="(200,210)" to="(250,210)"/> - <wire from="(190,310)" to="(190,400)"/> - <wire from="(910,200)" to="(910,220)"/> - <wire from="(230,350)" to="(230,440)"/> - <wire from="(100,110)" to="(100,1670)"/> - <wire from="(210,550)" to="(250,550)"/> - <wire from="(1120,1690)" to="(1120,1700)"/> - <wire from="(180,590)" to="(280,590)"/> - <wire from="(890,290)" to="(910,290)"/> - <wire from="(830,1190)" to="(850,1190)"/> - <wire from="(1060,150)" to="(1070,150)"/> - <wire from="(380,1330)" to="(380,1500)"/> - <wire from="(1030,960)" to="(1030,1020)"/> - <wire from="(810,420)" to="(810,480)"/> - <wire from="(630,560)" to="(830,560)"/> - <wire from="(370,1320)" to="(370,1500)"/> - <wire from="(360,1050)" to="(370,1050)"/> - <wire from="(990,600)" to="(990,660)"/> - <wire from="(530,780)" to="(530,840)"/> - <wire from="(570,1140)" to="(570,1200)"/> - <wire from="(770,60)" to="(770,120)"/> - <wire from="(920,970)" to="(930,970)"/> - <wire from="(1080,1650)" to="(1080,1690)"/> - <wire from="(840,250)" to="(850,250)"/> - <wire from="(450,60)" to="(450,120)"/> - <wire from="(490,420)" to="(490,480)"/> - <wire from="(880,610)" to="(890,610)"/> - <wire from="(640,690)" to="(650,690)"/> - <wire from="(680,1050)" to="(690,1050)"/> - <wire from="(200,1410)" to="(200,1470)"/> - <wire from="(600,330)" to="(610,330)"/> - <wire from="(630,1010)" to="(630,1020)"/> - <wire from="(550,290)" to="(550,300)"/> - <wire from="(560,1320)" to="(560,1460)"/> - <wire from="(850,1230)" to="(850,1240)"/> - <wire from="(220,990)" to="(280,990)"/> - <wire from="(220,360)" to="(270,360)"/> - <wire from="(530,1550)" to="(530,1580)"/> - <wire from="(170,420)" to="(170,510)"/> - <wire from="(870,290)" to="(870,310)"/> - <wire from="(190,760)" to="(190,850)"/> - <wire from="(230,800)" to="(230,890)"/> - <wire from="(210,460)" to="(210,550)"/> - <wire from="(910,650)" to="(910,670)"/> - <wire from="(890,740)" to="(910,740)"/> - <wire from="(850,380)" to="(870,380)"/> - <wire from="(1060,600)" to="(1070,600)"/> - <wire from="(1090,1010)" to="(1090,1450)"/> - <wire from="(920,1400)" to="(950,1400)"/> - <wire from="(810,870)" to="(810,930)"/> - <wire from="(520,60)" to="(530,60)"/> - <wire from="(990,1050)" to="(990,1110)"/> - <wire from="(530,1230)" to="(530,1290)"/> - <wire from="(730,150)" to="(730,210)"/> - <wire from="(770,510)" to="(770,570)"/> - <wire from="(930,1430)" to="(940,1430)"/> - <wire from="(1020,240)" to="(1030,240)"/> - <wire from="(410,150)" to="(410,210)"/> - <wire from="(450,510)" to="(450,570)"/> - <wire from="(880,1060)" to="(890,1060)"/> - <wire from="(490,870)" to="(490,930)"/> - <wire from="(840,700)" to="(850,700)"/> - <wire from="(640,1140)" to="(650,1140)"/> - <wire from="(920,340)" to="(920,390)"/> - <wire from="(560,420)" to="(570,420)"/> - <wire from="(600,780)" to="(610,780)"/> - <wire from="(190,130)" to="(250,130)"/> - <wire from="(200,1110)" to="(250,1110)"/> - <wire from="(220,810)" to="(270,810)"/> - <wire from="(510,380)" to="(510,390)"/> - <wire from="(1130,1640)" to="(1130,1670)"/> - <wire from="(230,1250)" to="(230,1330)"/> - <wire from="(830,380)" to="(830,400)"/> - <wire from="(170,870)" to="(170,960)"/> - <wire from="(210,910)" to="(210,1000)"/> - <wire from="(870,740)" to="(870,760)"/> - <wire from="(190,1210)" to="(190,1300)"/> - <wire from="(270,1460)" to="(560,1460)"/> - <wire from="(910,1100)" to="(910,1120)"/> - <wire from="(240,520)" to="(280,520)"/> - <wire from="(470,110)" to="(510,110)"/> - <wire from="(850,830)" to="(870,830)"/> - <wire from="(890,1190)" to="(910,1190)"/> - <wire from="(290,1630)" to="(1020,1630)"/> - <wire from="(180,860)" to="(270,860)"/> - <wire from="(1060,1050)" to="(1070,1050)"/> - <wire from="(770,960)" to="(770,1020)"/> - <wire from="(200,70)" to="(200,120)"/> - <wire from="(480,150)" to="(490,150)"/> - <wire from="(240,1510)" to="(250,1510)"/> - <wire from="(1080,1690)" to="(1110,1690)"/> - <wire from="(690,240)" to="(690,300)"/> - <wire from="(730,600)" to="(730,660)"/> - <wire from="(980,330)" to="(990,330)"/> - <wire from="(1020,690)" to="(1030,690)"/> - <wire from="(370,240)" to="(370,300)"/> - <wire from="(410,600)" to="(410,660)"/> - <wire from="(800,150)" to="(810,150)"/> - <wire from="(840,1150)" to="(850,1150)"/> - <wire from="(450,960)" to="(450,1020)"/> - <wire from="(920,790)" to="(920,840)"/> - <wire from="(560,870)" to="(570,870)"/> - <wire from="(840,70)" to="(840,120)"/> - <wire from="(520,510)" to="(530,510)"/> - <wire from="(880,430)" to="(880,480)"/> - <wire from="(600,1230)" to="(610,1230)"/> - <comp lib="1" loc="(940,660)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(552,1604)" name="Text"> - <a name="text" val="ALU"/> - </comp> - <comp lib="0" loc="(840,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="2"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(360,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(800,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="2" loc="(890,330)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(900,120)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(720,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,640)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(940,1180)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,210)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(400,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,1320)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(240,1580)" name="Register"/> - <comp lib="2" loc="(890,240)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(440,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(310,346)" name="Text"> - <a name="text" val="C B 00 10"/> - </comp> - <comp lib="0" loc="(670,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,1200)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(980,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(805,1575)" name="Text"> - <a name="text" val="JMP"/> - </comp> - <comp lib="0" loc="(750,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,1290)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(760,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(980,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(900,750)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(1080,1590)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,210)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="2" loc="(890,420)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(860,640)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(900,840)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="2" loc="(850,1050)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(900,1270)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(970,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(330,1010)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="LB"/> - <a name="negate3" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="0" loc="(940,1000)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,690)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(720,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1020,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(470,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(370,1520)" name="Splitter"> - <a name="facing" val="north"/> - <a name="fanout" val="3"/> - <a name="incoming" val="3"/> - <a name="appear" val="center"/> - </comp> - <comp lib="0" loc="(1050,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(400,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(611,1553)" name="Text"> - <a name="text" val="GPR MUX"/> - </comp> - <comp lib="0" loc="(670,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,300)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(640,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(220,1490)" name="Splitter"> - <a name="facing" val="north"/> - <a name="incoming" val="4"/> - <a name="bit1" val="0"/> - <a name="bit2" val="1"/> - <a name="bit3" val="1"/> - </comp> - <comp lib="1" loc="(600,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(510,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,100)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,280)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(260,1390)" name="Constant"> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(240,1580)" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(970,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(760,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(970,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,930)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(330,470)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="LLI"/> - <a name="negate6" val="true"/> - <a name="negate7" val="true"/> - </comp> - <comp lib="0" loc="(940,730)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,460)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(1050,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,190)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,660)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(350,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(590,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,1320)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(430,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(647,1605)" name="Text"> - <a name="text" val="JMP FLAG"/> - </comp> - <comp lib="0" loc="(470,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(560,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(590,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,480)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(390,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,830)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="POP"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - <a name="negate4" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="1" loc="(720,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,960)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(590,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,1020)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(480,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1060,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(600,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,820)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(470,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(230,1540)" name="Splitter"> - <a name="facing" val="north"/> - <a name="incoming" val="8"/> - <a name="bit1" val="0"/> - <a name="bit2" val="0"/> - <a name="bit3" val="0"/> - <a name="bit4" val="1"/> - <a name="bit5" val="1"/> - <a name="bit6" val="1"/> - <a name="bit7" val="1"/> - </comp> - <comp lib="1" loc="(240,1620)" name="AND Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,560)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="LUI"/> - <a name="negate7" val="true"/> - </comp> - <comp lib="1" loc="(720,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(220,1370)" name="Splitter"> - <a name="facing" val="north"/> - <a name="appear" val="center"/> - </comp> - <comp lib="2" loc="(930,600)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(390,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(600,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,750)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(760,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(428,1535)" name="Text"> - <a name="text" val="ALU OPCODE"/> - </comp> - <comp lib="0" loc="(790,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(900,1020)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(760,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,120)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(480,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(760,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(630,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="4" loc="(1130,1670)" name="Register"> - <a name="width" val="1"/> - <a name="trigger" val="falling"/> - </comp> - <comp lib="2" loc="(930,510)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(590,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(980,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(440,1580)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(590,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(410,1590)" name="Pin"> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(980,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(470,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,240)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(470,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(670,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,1270)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,660)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(800,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(550,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1100,1510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(890,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,570)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="2" loc="(160,50)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(640,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,380)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="CIN"/> - <a name="negate0" val="true"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - </comp> - <comp lib="2" loc="(890,510)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(590,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(370,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="3"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(670,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,190)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,480)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(640,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(560,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,910)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,870)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(1050,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(670,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(160,50)" name="Splitter"> - <a name="facing" val="south"/> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> - </comp> - <comp lib="0" loc="(1010,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,1090)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,460)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,150)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(720,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(280,280)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="6" loc="(682,1553)" name="Text"> - <a name="text" val="A MUX"/> - </comp> - <comp lib="0" loc="(630,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(284,1601)" name="Text"> - <a name="text" val="MEM POINTER"/> - </comp> - <comp lib="1" loc="(560,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(940,640)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(890,1320)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(980,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(360,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(330,1280)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="CMP"/> - </comp> - <comp lib="1" loc="(760,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(600,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="4" loc="(110,50)" name="Register"> - <a name="trigger" val="falling"/> - </comp> - <comp lib="1" loc="(1020,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,370)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(470,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,1140)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(590,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,730)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,910)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(860,1180)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,840)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(900,1000)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(260,1350)" name="Constant"> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(720,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,1090)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,930)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(880,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="2"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(1060,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(720,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,240)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(970,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(560,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,1000)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(480,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,780)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(980,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(760,1553)" name="Text"> - <a name="text" val="POP"/> - </comp> - <comp lib="0" loc="(970,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(600,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(306,161)" name="Text"> - <a name="text" val="C B A 01"/> - </comp> - <comp lib="0" loc="(790,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(330,110)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="OR"/> - <a name="negate0" val="true"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(560,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(770,1570)" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(840,1520)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(880,1520)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(510,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,600)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(680,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,1230)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(680,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(900,930)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(1020,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,480)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(881,1559)" name="Text"> - <a name="text" val="B"/> - </comp> - <comp lib="1" loc="(720,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(290,1440)" name="Multiplexer"> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(680,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,1580)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(590,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(920,1520)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(720,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,750)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(940,390)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(610,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="2"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(670,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(200,1410)" name="Splitter"> - <a name="facing" val="north"/> - <a name="appear" val="center"/> - </comp> - <comp lib="2" loc="(850,330)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(1050,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,280)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(550,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(970,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(550,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,370)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,550)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(400,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(470,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,840)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(680,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,420)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(430,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1020,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,420)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(930,1140)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(900,390)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(330,290)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="ADD"/> - <a name="negate0" val="true"/> - </comp> - <comp lib="1" loc="(860,300)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(970,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1020,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,1190)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="SP"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="1" loc="(720,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(560,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,960)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(800,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,780)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(330,200)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="NAND"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(1020,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,1110)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(970,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,1200)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(790,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(590,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,100)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,1140)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(970,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,1050)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(890,600)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(330,1100)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="SB"/> - <a name="negate2" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="1" loc="(400,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(290,1360)" name="Multiplexer"> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(890,150)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(210,1520)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="4"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,1270)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(510,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(330,740)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="PUSH"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - <a name="negate5" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="0" loc="(970,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(330,920)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="PCR"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="1" loc="(440,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,570)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(860,1090)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,390)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(860,820)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,1230)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(750,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(910,1470)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="selloc" val="tr"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(600,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,570)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(710,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1050,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(180,1450)" name="Splitter"> - <a name="facing" val="north"/> - <a name="appear" val="center"/> - </comp> - <comp lib="0" loc="(350,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(470,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(920,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="2"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(560,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(230,1490)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="4"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,300)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(360,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(720,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,460)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,550)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(790,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,150)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(980,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="4" loc="(710,1580)" name="Register"> - <a name="width" val="1"/> - <a name="trigger" val="falling"/> - </comp> - <comp lib="1" loc="(400,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,1290)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(970,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,1110)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(430,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,330)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(800,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,1110)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(260,1430)" name="Constant"> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(610,1520)" name="Splitter"> - <a name="facing" val="north"/> - <a name="appear" val="center"/> - </comp> - <comp lib="1" loc="(600,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,1020)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(980,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(510,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(600,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(520,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,870)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(680,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(720,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,1230)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(390,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,370)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,1180)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(130,60)" name="Constant"> - <a name="width" val="8"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(770,1570)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(390,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(240,1510)" name="Splitter"> - <a name="facing" val="north"/> - <a name="incoming" val="8"/> - <a name="bit1" val="0"/> - <a name="bit2" val="0"/> - <a name="bit3" val="0"/> - <a name="bit4" val="1"/> - <a name="bit5" val="1"/> - <a name="bit6" val="1"/> - <a name="bit7" val="1"/> - </comp> - <comp lib="6" loc="(802,1555)" name="Text"> - <a name="text" val="PUSH"/> - </comp> - <comp lib="2" loc="(930,960)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(980,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,190)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(670,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(760,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(310,258)" name="Text"> - <a name="text" val="C B A 10"/> - </comp> - <comp lib="1" loc="(600,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(290,1400)" name="Multiplexer"> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(670,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(280,1520)" name="OR Gate"> - <a name="width" val="8"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(550,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,730)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(390,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(850,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,1050)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(1010,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1060,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(930,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(540,1580)" name="Pin"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(330,650)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="JMP"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - <a name="negate4" val="true"/> - <a name="negate5" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="1" loc="(900,210)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(750,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(440,1580)" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(1010,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,1200)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(710,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(440,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(510,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(510,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1050,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(800,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,280)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,550)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(980,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1020,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="6" loc="(839,1559)" name="Text"> - <a name="text" val="A"/> - </comp> - <comp lib="0" loc="(760,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(560,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,910)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(970,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,780)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="6" loc="(371,1612)" name="Text"> - <a name="text" val="CUR PC ADDR"/> - </comp> - <comp lib="0" loc="(550,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(940,100)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,120)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="2" loc="(930,690)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(940,820)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(940,1290)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(920,1558)" name="Text"> - <a name="text" val="C"/> - </comp> - <comp lib="2" loc="(320,1530)" name="Multiplexer"> - <a name="selloc" val="tr"/> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(800,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,510)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(710,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(80,50)" name="Pin"> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(80,80)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="6" loc="(476,1604)" name="Text"> - <a name="text" val="IMM VALUE"/> - </comp> - <comp lib="6" loc="(310,78)" name="Text"> - <a name="text" val="C B A 00"/> - </comp> - <comp lib="0" loc="(680,1520)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(680,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,870)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(360,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(970,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(80,110)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="2" loc="(850,690)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(470,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(590,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(980,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - </circuit> - <circuit name="STK"> - <a name="circuit" val="STK"/> - <a name="clabel" val=""/> - <a name="clabelup" val="east"/> - <a name="clabelfont" val="SansSerif plain 12"/> - <appear> - <rect fill="none" height="31" stroke="#000000" stroke-width="2" width="30" x="50" y="60"/> - <text font-family="SansSerif" font-size="12" text-anchor="middle" x="63" y="80">STK</text> - <circ-port height="10" pin="150,220" width="10" x="55" y="55"/> - <circ-port height="8" pin="150,270" width="8" x="76" y="66"/> - <circ-port height="8" pin="90,290" width="8" x="76" y="76"/> - <circ-port height="8" pin="90,330" width="8" x="56" y="86"/> - <circ-port height="8" pin="90,360" width="8" x="66" y="86"/> - <circ-port height="8" pin="180,320" width="8" x="46" y="76"/> - <circ-anchor facing="east" height="6" width="6" x="67" y="57"/> - </appear> - <wire from="(1280,310)" to="(1390,310)"/> - <wire from="(1890,290)" to="(1890,320)"/> - <wire from="(2790,230)" to="(2790,270)"/> - <wire from="(3500,260)" to="(3510,260)"/> - <wire from="(3510,270)" to="(3520,270)"/> - <wire from="(1110,300)" to="(1220,300)"/> - <wire from="(2800,230)" to="(3010,230)"/> - <wire from="(1720,280)" to="(1720,310)"/> - <wire from="(1450,320)" to="(1560,320)"/> - <wire from="(2470,220)" to="(2680,220)"/> - <wire from="(450,290)" to="(450,300)"/> - <wire from="(2240,270)" to="(2260,270)"/> - <wire from="(1860,260)" to="(1860,270)"/> - <wire from="(2460,220)" to="(2460,270)"/> - <wire from="(2230,270)" to="(2240,270)"/> - <wire from="(2690,250)" to="(2700,250)"/> - <wire from="(1040,230)" to="(1250,230)"/> - <wire from="(3210,320)" to="(3320,320)"/> - <wire from="(710,220)" to="(920,220)"/> - <wire from="(1030,230)" to="(1030,270)"/> - <wire from="(3650,290)" to="(3650,320)"/> - <wire from="(3480,280)" to="(3480,310)"/> - <wire from="(480,270)" to="(500,270)"/> - <wire from="(2210,290)" to="(2210,300)"/> - <wire from="(1750,270)" to="(1760,270)"/> - <wire from="(1740,260)" to="(1750,260)"/> - <wire from="(150,310)" to="(150,360)"/> - <wire from="(470,270)" to="(480,270)"/> - <wire from="(700,220)" to="(700,270)"/> - <wire from="(930,250)" to="(940,250)"/> - <wire from="(2870,300)" to="(2980,300)"/> - <wire from="(3620,260)" to="(3620,270)"/> - <wire from="(3040,310)" to="(3150,310)"/> - <wire from="(3220,270)" to="(3230,270)"/> - <wire from="(1370,220)" to="(1370,250)"/> - <wire from="(2100,300)" to="(2210,300)"/> - <wire from="(1700,230)" to="(1700,250)"/> - <wire from="(1120,290)" to="(1120,320)"/> - <wire from="(950,280)" to="(950,310)"/> - <wire from="(510,310)" to="(620,310)"/> - <wire from="(340,300)" to="(450,300)"/> - <wire from="(680,320)" to="(790,320)"/> - <wire from="(1090,260)" to="(1090,270)"/> - <wire from="(3230,270)" to="(3250,270)"/> - <wire from="(2740,270)" to="(2750,270)"/> - <wire from="(1440,290)" to="(1440,300)"/> - <wire from="(2730,260)" to="(2740,260)"/> - <wire from="(3460,230)" to="(3460,250)"/> - <wire from="(1460,270)" to="(1470,270)"/> - <wire from="(2850,260)" to="(2850,270)"/> - <wire from="(1920,250)" to="(1930,250)"/> - <wire from="(2270,310)" to="(2380,310)"/> - <wire from="(3130,220)" to="(3130,250)"/> - <wire from="(150,300)" to="(230,300)"/> - <wire from="(160,250)" to="(170,250)"/> - <wire from="(2880,290)" to="(2880,320)"/> - <wire from="(2440,320)" to="(2550,320)"/> - <wire from="(2710,280)" to="(2710,310)"/> - <wire from="(970,260)" to="(980,260)"/> - <wire from="(980,270)" to="(990,270)"/> - <wire from="(3200,290)" to="(3200,300)"/> - <wire from="(1470,270)" to="(1490,270)"/> - <wire from="(670,290)" to="(670,300)"/> - <wire from="(1940,280)" to="(1940,310)"/> - <wire from="(3020,230)" to="(3230,230)"/> - <wire from="(1500,310)" to="(1610,310)"/> - <wire from="(3010,230)" to="(3010,270)"/> - <wire from="(1330,300)" to="(1440,300)"/> - <wire from="(2690,220)" to="(2900,220)"/> - <wire from="(2460,270)" to="(2480,270)"/> - <wire from="(1670,320)" to="(1780,320)"/> - <wire from="(320,260)" to="(320,270)"/> - <wire from="(2450,270)" to="(2460,270)"/> - <wire from="(2080,260)" to="(2080,270)"/> - <wire from="(2910,250)" to="(2920,250)"/> - <wire from="(2680,220)" to="(2680,270)"/> - <wire from="(350,290)" to="(350,320)"/> - <wire from="(180,280)" to="(180,310)"/> - <wire from="(930,220)" to="(1140,220)"/> - <wire from="(3260,310)" to="(3370,310)"/> - <wire from="(90,290)" to="(120,290)"/> - <wire from="(3430,320)" to="(3540,320)"/> - <wire from="(700,270)" to="(720,270)"/> - <wire from="(1150,250)" to="(1160,250)"/> - <wire from="(1970,270)" to="(1980,270)"/> - <wire from="(1960,260)" to="(1970,260)"/> - <wire from="(110,320)" to="(130,320)"/> - <wire from="(2430,290)" to="(2430,300)"/> - <wire from="(200,260)" to="(210,260)"/> - <wire from="(210,270)" to="(220,270)"/> - <wire from="(1250,230)" to="(1250,270)"/> - <wire from="(3090,300)" to="(3200,300)"/> - <wire from="(690,270)" to="(700,270)"/> - <wire from="(920,220)" to="(920,270)"/> - <wire from="(1260,230)" to="(1470,230)"/> - <wire from="(2110,290)" to="(2110,320)"/> - <wire from="(3440,270)" to="(3450,270)"/> - <wire from="(180,320)" to="(240,320)"/> - <wire from="(1590,220)" to="(1590,250)"/> - <wire from="(1920,230)" to="(1920,250)"/> - <wire from="(1340,290)" to="(1340,320)"/> - <wire from="(1170,280)" to="(1170,310)"/> - <wire from="(1660,290)" to="(1660,300)"/> - <wire from="(160,230)" to="(160,250)"/> - <wire from="(2140,250)" to="(2150,250)"/> - <wire from="(3450,270)" to="(3470,270)"/> - <wire from="(1310,260)" to="(1310,270)"/> - <wire from="(730,310)" to="(840,310)"/> - <wire from="(2960,270)" to="(2970,270)"/> - <wire from="(2950,260)" to="(2960,260)"/> - <wire from="(560,300)" to="(670,300)"/> - <wire from="(900,320)" to="(1010,320)"/> - <wire from="(1200,270)" to="(1210,270)"/> - <wire from="(3070,260)" to="(3070,270)"/> - <wire from="(1190,260)" to="(1200,260)"/> - <wire from="(3350,220)" to="(3350,250)"/> - <wire from="(1680,270)" to="(1690,270)"/> - <wire from="(3100,290)" to="(3100,320)"/> - <wire from="(2320,300)" to="(2430,300)"/> - <wire from="(2930,280)" to="(2930,310)"/> - <wire from="(380,250)" to="(390,250)"/> - <wire from="(1690,270)" to="(1710,270)"/> - <wire from="(2490,310)" to="(2600,310)"/> - <wire from="(3420,290)" to="(3420,300)"/> - <wire from="(2660,320)" to="(2770,320)"/> - <wire from="(540,260)" to="(540,270)"/> - <wire from="(2910,220)" to="(3120,220)"/> - <wire from="(2680,270)" to="(2700,270)"/> - <wire from="(1550,300)" to="(1660,300)"/> - <wire from="(890,290)" to="(890,300)"/> - <wire from="(1720,310)" to="(1830,310)"/> - <wire from="(110,310)" to="(110,320)"/> - <wire from="(1890,320)" to="(2000,320)"/> - <wire from="(570,290)" to="(570,320)"/> - <wire from="(2190,270)" to="(2200,270)"/> - <wire from="(2180,260)" to="(2190,260)"/> - <wire from="(400,280)" to="(400,310)"/> - <wire from="(3230,230)" to="(3230,270)"/> - <wire from="(3130,250)" to="(3140,250)"/> - <wire from="(2670,270)" to="(2680,270)"/> - <wire from="(2900,220)" to="(2900,270)"/> - <wire from="(3240,230)" to="(3450,230)"/> - <wire from="(3310,300)" to="(3420,300)"/> - <wire from="(1370,250)" to="(1380,250)"/> - <wire from="(920,270)" to="(940,270)"/> - <wire from="(2650,290)" to="(2650,300)"/> - <wire from="(1140,220)" to="(1140,270)"/> - <wire from="(3480,310)" to="(3590,310)"/> - <wire from="(2300,260)" to="(2300,270)"/> - <wire from="(430,270)" to="(440,270)"/> - <wire from="(420,260)" to="(430,260)"/> - <wire from="(1480,230)" to="(1690,230)"/> - <wire from="(910,270)" to="(920,270)"/> - <wire from="(2330,290)" to="(2330,320)"/> - <wire from="(1470,230)" to="(1470,270)"/> - <wire from="(2160,280)" to="(2160,310)"/> - <wire from="(1150,220)" to="(1360,220)"/> - <wire from="(3660,270)" to="(3670,270)"/> - <wire from="(1120,320)" to="(1230,320)"/> - <wire from="(1810,220)" to="(1810,250)"/> - <wire from="(3180,270)" to="(3190,270)"/> - <wire from="(3170,260)" to="(3180,260)"/> - <wire from="(1560,290)" to="(1560,320)"/> - <wire from="(1390,280)" to="(1390,310)"/> - <wire from="(380,230)" to="(380,250)"/> - <wire from="(2360,250)" to="(2370,250)"/> - <wire from="(1880,290)" to="(1880,300)"/> - <wire from="(950,310)" to="(1060,310)"/> - <wire from="(1530,260)" to="(1530,270)"/> - <wire from="(780,300)" to="(890,300)"/> - <wire from="(1410,260)" to="(1420,260)"/> - <wire from="(1420,270)" to="(1430,270)"/> - <wire from="(3570,220)" to="(3570,250)"/> - <wire from="(3570,220)" to="(3670,220)"/> - <wire from="(1900,270)" to="(1910,270)"/> - <wire from="(3320,290)" to="(3320,320)"/> - <wire from="(150,270)" to="(170,270)"/> - <wire from="(3150,280)" to="(3150,310)"/> - <wire from="(1910,270)" to="(1930,270)"/> - <wire from="(2540,300)" to="(2650,300)"/> - <wire from="(2140,230)" to="(2140,250)"/> - <wire from="(2880,320)" to="(2990,320)"/> - <wire from="(2710,310)" to="(2820,310)"/> - <wire from="(3290,260)" to="(3290,270)"/> - <wire from="(3640,290)" to="(3640,300)"/> - <wire from="(600,250)" to="(610,250)"/> - <wire from="(2900,270)" to="(2920,270)"/> - <wire from="(760,260)" to="(760,270)"/> - <wire from="(3130,220)" to="(3340,220)"/> - <wire from="(3350,250)" to="(3360,250)"/> - <wire from="(1770,300)" to="(1880,300)"/> - <wire from="(1940,310)" to="(2050,310)"/> - <wire from="(180,310)" to="(290,310)"/> - <wire from="(2400,260)" to="(2410,260)"/> - <wire from="(790,290)" to="(790,320)"/> - <wire from="(2410,270)" to="(2420,270)"/> - <wire from="(620,280)" to="(620,310)"/> - <wire from="(350,320)" to="(460,320)"/> - <wire from="(1110,290)" to="(1110,300)"/> - <wire from="(3460,230)" to="(3670,230)"/> - <wire from="(3450,230)" to="(3450,270)"/> - <wire from="(3120,220)" to="(3120,270)"/> - <wire from="(2890,270)" to="(2900,270)"/> - <wire from="(2870,290)" to="(2870,300)"/> - <wire from="(1360,220)" to="(1360,270)"/> - <wire from="(1130,270)" to="(1140,270)"/> - <wire from="(3530,300)" to="(3640,300)"/> - <wire from="(2520,260)" to="(2520,270)"/> - <wire from="(1590,250)" to="(1600,250)"/> - <wire from="(1690,230)" to="(1690,270)"/> - <wire from="(2110,320)" to="(2220,320)"/> - <wire from="(1700,230)" to="(1910,230)"/> - <wire from="(2550,290)" to="(2550,320)"/> - <wire from="(2380,280)" to="(2380,310)"/> - <wire from="(1370,220)" to="(1580,220)"/> - <wire from="(1140,270)" to="(1160,270)"/> - <wire from="(650,270)" to="(660,270)"/> - <wire from="(640,260)" to="(650,260)"/> - <wire from="(2030,220)" to="(2030,250)"/> - <wire from="(1170,310)" to="(1280,310)"/> - <wire from="(3400,270)" to="(3410,270)"/> - <wire from="(1780,290)" to="(1780,320)"/> - <wire from="(3390,260)" to="(3400,260)"/> - <wire from="(1610,280)" to="(1610,310)"/> - <wire from="(1340,320)" to="(1450,320)"/> - <wire from="(2130,270)" to="(2150,270)"/> - <wire from="(340,290)" to="(340,300)"/> - <wire from="(1750,260)" to="(1750,270)"/> - <wire from="(2580,250)" to="(2590,250)"/> - <wire from="(2100,290)" to="(2100,300)"/> - <wire from="(2120,270)" to="(2130,270)"/> - <wire from="(270,220)" to="(270,250)"/> - <wire from="(600,230)" to="(600,250)"/> - <wire from="(1000,300)" to="(1110,300)"/> - <wire from="(370,270)" to="(390,270)"/> - <wire from="(3540,290)" to="(3540,320)"/> - <wire from="(3370,280)" to="(3370,310)"/> - <wire from="(1630,260)" to="(1640,260)"/> - <wire from="(1640,270)" to="(1650,270)"/> - <wire from="(360,270)" to="(370,270)"/> - <wire from="(2360,230)" to="(2360,250)"/> - <wire from="(820,250)" to="(830,250)"/> - <wire from="(2760,300)" to="(2870,300)"/> - <wire from="(3100,320)" to="(3210,320)"/> - <wire from="(2930,310)" to="(3040,310)"/> - <wire from="(3510,260)" to="(3510,270)"/> - <wire from="(3340,220)" to="(3340,270)"/> - <wire from="(3570,250)" to="(3580,250)"/> - <wire from="(3120,270)" to="(3140,270)"/> - <wire from="(980,260)" to="(980,270)"/> - <wire from="(1990,300)" to="(2100,300)"/> - <wire from="(150,220)" to="(260,220)"/> - <wire from="(2630,270)" to="(2640,270)"/> - <wire from="(1010,290)" to="(1010,320)"/> - <wire from="(2620,260)" to="(2630,260)"/> - <wire from="(230,300)" to="(340,300)"/> - <wire from="(840,280)" to="(840,310)"/> - <wire from="(400,310)" to="(510,310)"/> - <wire from="(3640,300)" to="(3670,300)"/> - <wire from="(3110,270)" to="(3120,270)"/> - <wire from="(570,320)" to="(680,320)"/> - <wire from="(3650,320)" to="(3670,320)"/> - <wire from="(3350,220)" to="(3560,220)"/> - <wire from="(1330,290)" to="(1330,300)"/> - <wire from="(3670,230)" to="(3670,270)"/> - <wire from="(1350,270)" to="(1360,270)"/> - <wire from="(2740,260)" to="(2740,270)"/> - <wire from="(3090,290)" to="(3090,300)"/> - <wire from="(1580,220)" to="(1580,270)"/> - <wire from="(160,230)" to="(370,230)"/> - <wire from="(1810,250)" to="(1820,250)"/> - <wire from="(2330,320)" to="(2440,320)"/> - <wire from="(2160,310)" to="(2270,310)"/> - <wire from="(1920,230)" to="(2130,230)"/> - <wire from="(2770,290)" to="(2770,320)"/> - <wire from="(1910,230)" to="(1910,270)"/> - <wire from="(1590,220)" to="(1800,220)"/> - <wire from="(110,310)" to="(120,310)"/> - <wire from="(1360,270)" to="(1380,270)"/> - <wire from="(2600,280)" to="(2600,310)"/> - <wire from="(870,270)" to="(880,270)"/> - <wire from="(860,260)" to="(870,260)"/> - <wire from="(1220,300)" to="(1330,300)"/> - <wire from="(3610,260)" to="(3620,260)"/> - <wire from="(2000,290)" to="(2000,320)"/> - <wire from="(3620,270)" to="(3630,270)"/> - <wire from="(560,290)" to="(560,300)"/> - <wire from="(1830,280)" to="(1830,310)"/> - <wire from="(1560,320)" to="(1670,320)"/> - <wire from="(1390,310)" to="(1500,310)"/> - <wire from="(210,260)" to="(210,270)"/> - <wire from="(2350,270)" to="(2370,270)"/> - <wire from="(2340,270)" to="(2350,270)"/> - <wire from="(1970,260)" to="(1970,270)"/> - <wire from="(820,230)" to="(820,250)"/> - <wire from="(490,220)" to="(490,250)"/> - <wire from="(2800,250)" to="(2810,250)"/> - <wire from="(240,290)" to="(240,320)"/> - <wire from="(3320,320)" to="(3430,320)"/> - <wire from="(590,270)" to="(610,270)"/> - <wire from="(3590,280)" to="(3590,310)"/> - <wire from="(2320,290)" to="(2320,300)"/> - <wire from="(1850,260)" to="(1860,260)"/> - <wire from="(1860,270)" to="(1870,270)"/> - <wire from="(2580,230)" to="(2580,250)"/> - <wire from="(1040,250)" to="(1050,250)"/> - <wire from="(2250,220)" to="(2250,250)"/> - <wire from="(3150,310)" to="(3260,310)"/> - <wire from="(580,270)" to="(590,270)"/> - <wire from="(2980,300)" to="(3090,300)"/> - <wire from="(3330,270)" to="(3340,270)"/> - <wire from="(3560,220)" to="(3560,270)"/> - <wire from="(2130,230)" to="(2130,270)"/> - <wire from="(1230,290)" to="(1230,320)"/> - <wire from="(2140,230)" to="(2350,230)"/> - <wire from="(1060,280)" to="(1060,310)"/> - <wire from="(100,360)" to="(150,360)"/> - <wire from="(450,300)" to="(560,300)"/> - <wire from="(1200,260)" to="(1200,270)"/> - <wire from="(620,310)" to="(730,310)"/> - <wire from="(1550,290)" to="(1550,300)"/> - <wire from="(2850,270)" to="(2860,270)"/> - <wire from="(2840,260)" to="(2850,260)"/> - <wire from="(790,320)" to="(900,320)"/> - <wire from="(3340,270)" to="(3360,270)"/> - <wire from="(1570,270)" to="(1580,270)"/> - <wire from="(1090,270)" to="(1100,270)"/> - <wire from="(1080,260)" to="(1090,260)"/> - <wire from="(2960,260)" to="(2960,270)"/> - <wire from="(2030,250)" to="(2040,250)"/> - <wire from="(1800,220)" to="(1800,270)"/> - <wire from="(380,230)" to="(590,230)"/> - <wire from="(370,230)" to="(370,270)"/> - <wire from="(270,250)" to="(280,250)"/> - <wire from="(2990,290)" to="(2990,320)"/> - <wire from="(2210,300)" to="(2320,300)"/> - <wire from="(2820,280)" to="(2820,310)"/> - <wire from="(2550,320)" to="(2660,320)"/> - <wire from="(1580,270)" to="(1600,270)"/> - <wire from="(1810,220)" to="(2020,220)"/> - <wire from="(2380,310)" to="(2490,310)"/> - <wire from="(3310,290)" to="(3310,300)"/> - <wire from="(780,290)" to="(780,300)"/> - <wire from="(2050,280)" to="(2050,310)"/> - <wire from="(1440,300)" to="(1550,300)"/> - <wire from="(1780,320)" to="(1890,320)"/> - <wire from="(1610,310)" to="(1720,310)"/> - <wire from="(2570,270)" to="(2590,270)"/> - <wire from="(430,260)" to="(430,270)"/> - <wire from="(2560,270)" to="(2570,270)"/> - <wire from="(710,220)" to="(710,250)"/> - <wire from="(460,290)" to="(460,320)"/> - <wire from="(290,280)" to="(290,310)"/> - <wire from="(1040,230)" to="(1040,250)"/> - <wire from="(3020,250)" to="(3030,250)"/> - <wire from="(810,270)" to="(830,270)"/> - <wire from="(3370,310)" to="(3480,310)"/> - <wire from="(3200,300)" to="(3310,300)"/> - <wire from="(1260,250)" to="(1270,250)"/> - <wire from="(3540,320)" to="(3650,320)"/> - <wire from="(2080,270)" to="(2090,270)"/> - <wire from="(2070,260)" to="(2080,260)"/> - <wire from="(2190,260)" to="(2190,270)"/> - <wire from="(2540,290)" to="(2540,300)"/> - <wire from="(320,270)" to="(330,270)"/> - <wire from="(310,260)" to="(320,260)"/> - <wire from="(90,360)" to="(100,360)"/> - <wire from="(800,270)" to="(810,270)"/> - <wire from="(2470,220)" to="(2470,250)"/> - <wire from="(2800,230)" to="(2800,250)"/> - <wire from="(2220,290)" to="(2220,320)"/> - <wire from="(3550,270)" to="(3560,270)"/> - <wire from="(1450,290)" to="(1450,320)"/> - <wire from="(2350,230)" to="(2350,270)"/> - <wire from="(2360,230)" to="(2570,230)"/> - <wire from="(1280,280)" to="(1280,310)"/> - <wire from="(1770,290)" to="(1770,300)"/> - <wire from="(2250,250)" to="(2260,250)"/> - <wire from="(3070,270)" to="(3080,270)"/> - <wire from="(3060,260)" to="(3070,260)"/> - <wire from="(670,300)" to="(780,300)"/> - <wire from="(3560,270)" to="(3580,270)"/> - <wire from="(1010,320)" to="(1120,320)"/> - <wire from="(840,310)" to="(950,310)"/> - <wire from="(1420,260)" to="(1420,270)"/> - <wire from="(590,230)" to="(590,270)"/> - <wire from="(1300,260)" to="(1310,260)"/> - <wire from="(1310,270)" to="(1320,270)"/> - <wire from="(600,230)" to="(810,230)"/> - <wire from="(270,220)" to="(480,220)"/> - <wire from="(2020,220)" to="(2020,270)"/> - <wire from="(1790,270)" to="(1800,270)"/> - <wire from="(3210,290)" to="(3210,320)"/> - <wire from="(2030,220)" to="(2240,220)"/> - <wire from="(3040,280)" to="(3040,310)"/> - <wire from="(490,250)" to="(500,250)"/> - <wire from="(2600,310)" to="(2710,310)"/> - <wire from="(2430,300)" to="(2540,300)"/> - <wire from="(260,220)" to="(260,270)"/> - <wire from="(90,330)" to="(100,330)"/> - <wire from="(1800,270)" to="(1820,270)"/> - <wire from="(2770,320)" to="(2880,320)"/> - <wire from="(3180,260)" to="(3180,270)"/> - <wire from="(3530,290)" to="(3530,300)"/> - <wire from="(650,260)" to="(650,270)"/> - <wire from="(1000,290)" to="(1000,300)"/> - <wire from="(2790,270)" to="(2810,270)"/> - <wire from="(3240,250)" to="(3250,250)"/> - <wire from="(1260,230)" to="(1260,250)"/> - <wire from="(1830,310)" to="(1940,310)"/> - <wire from="(1660,300)" to="(1770,300)"/> - <wire from="(100,350)" to="(100,360)"/> - <wire from="(2000,320)" to="(2110,320)"/> - <wire from="(930,220)" to="(930,250)"/> - <wire from="(240,320)" to="(350,320)"/> - <wire from="(2290,260)" to="(2300,260)"/> - <wire from="(680,290)" to="(680,320)"/> - <wire from="(2300,270)" to="(2310,270)"/> - <wire from="(510,280)" to="(510,310)"/> - <wire from="(2780,270)" to="(2790,270)"/> - <wire from="(1480,250)" to="(1490,250)"/> - <wire from="(3420,300)" to="(3530,300)"/> - <wire from="(2760,290)" to="(2760,300)"/> - <wire from="(1030,270)" to="(1050,270)"/> - <wire from="(2410,260)" to="(2410,270)"/> - <wire from="(2690,220)" to="(2690,250)"/> - <wire from="(1020,270)" to="(1030,270)"/> - <wire from="(3020,230)" to="(3020,250)"/> - <wire from="(2440,290)" to="(2440,320)"/> - <wire from="(2270,280)" to="(2270,310)"/> - <wire from="(530,260)" to="(540,260)"/> - <wire from="(540,270)" to="(550,270)"/> - <wire from="(1230,320)" to="(1340,320)"/> - <wire from="(1060,310)" to="(1170,310)"/> - <wire from="(3590,310)" to="(3670,310)"/> - <wire from="(3290,270)" to="(3300,270)"/> - <wire from="(1670,290)" to="(1670,320)"/> - <wire from="(3280,260)" to="(3290,260)"/> - <wire from="(1500,280)" to="(1500,310)"/> - <wire from="(230,290)" to="(230,300)"/> - <wire from="(2580,230)" to="(2790,230)"/> - <wire from="(2250,220)" to="(2460,220)"/> - <wire from="(2570,230)" to="(2570,270)"/> - <wire from="(2240,220)" to="(2240,270)"/> - <wire from="(1640,260)" to="(1640,270)"/> - <wire from="(2470,250)" to="(2480,250)"/> - <wire from="(1990,290)" to="(1990,300)"/> - <wire from="(890,300)" to="(1000,300)"/> - <wire from="(150,310)" to="(180,310)"/> - <wire from="(1530,270)" to="(1540,270)"/> - <wire from="(1520,260)" to="(1530,260)"/> - <wire from="(820,230)" to="(1030,230)"/> - <wire from="(810,230)" to="(810,270)"/> - <wire from="(260,270)" to="(280,270)"/> - <wire from="(2010,270)" to="(2020,270)"/> - <wire from="(490,220)" to="(700,220)"/> - <wire from="(3430,290)" to="(3430,320)"/> - <wire from="(3260,280)" to="(3260,310)"/> - <wire from="(2020,270)" to="(2040,270)"/> - <wire from="(480,220)" to="(480,270)"/> - <wire from="(250,270)" to="(260,270)"/> - <wire from="(2820,310)" to="(2930,310)"/> - <wire from="(3400,260)" to="(3400,270)"/> - <wire from="(2650,300)" to="(2760,300)"/> - <wire from="(710,250)" to="(720,250)"/> - <wire from="(2990,320)" to="(3100,320)"/> - <wire from="(3010,270)" to="(3030,270)"/> - <wire from="(3460,250)" to="(3470,250)"/> - <wire from="(1480,230)" to="(1480,250)"/> - <wire from="(870,260)" to="(870,270)"/> - <wire from="(2050,310)" to="(2160,310)"/> - <wire from="(1880,300)" to="(1990,300)"/> - <wire from="(1150,220)" to="(1150,250)"/> - <wire from="(2510,260)" to="(2520,260)"/> - <wire from="(2520,270)" to="(2530,270)"/> - <wire from="(900,290)" to="(900,320)"/> - <wire from="(460,320)" to="(570,320)"/> - <wire from="(730,280)" to="(730,310)"/> - <wire from="(130,320)" to="(130,340)"/> - <wire from="(290,310)" to="(400,310)"/> - <wire from="(1220,290)" to="(1220,300)"/> - <wire from="(3000,270)" to="(3010,270)"/> - <wire from="(1240,270)" to="(1250,270)"/> - <wire from="(3240,230)" to="(3240,250)"/> - <wire from="(2980,290)" to="(2980,300)"/> - <wire from="(2630,260)" to="(2630,270)"/> - <wire from="(1700,250)" to="(1710,250)"/> - <wire from="(2910,220)" to="(2910,250)"/> - <wire from="(2220,320)" to="(2330,320)"/> - <wire from="(2660,290)" to="(2660,320)"/> - <wire from="(2490,280)" to="(2490,310)"/> - <wire from="(750,260)" to="(760,260)"/> - <wire from="(760,270)" to="(770,270)"/> - <wire from="(1250,270)" to="(1270,270)"/> - <comp lib="2" loc="(970,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(3440,270)" name="Register"/> - <comp lib="1" loc="(130,340)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="4" loc="(2340,270)" name="Register"/> - <comp lib="1" loc="(150,300)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(150,220)" name="Pin"> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(3670,220)" name="Constant"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(750,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(2730,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(2950,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(2180,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(2070,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(250,270)" name="Register"/> - <comp lib="4" loc="(690,270)" name="Register"/> - <comp lib="2" loc="(2620,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(1960,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(3500,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(1300,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(1520,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(2450,270)" name="Register"/> - <comp lib="0" loc="(90,330)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="2" loc="(1630,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(3000,270)" name="Register"/> - <comp lib="2" loc="(2400,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(1130,270)" name="Register"/> - <comp lib="4" loc="(1020,270)" name="Register"/> - <comp lib="2" loc="(1190,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(3610,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(1740,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(800,270)" name="Register"/> - <comp lib="2" loc="(3060,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(3170,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(310,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(3220,270)" name="Register"/> - <comp lib="4" loc="(1680,270)" name="Register"/> - <comp lib="2" loc="(3280,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(360,270)" name="Register"/> - <comp lib="4" loc="(3550,270)" name="Register"/> - <comp lib="0" loc="(180,320)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="4" loc="(2560,270)" name="Register"/> - <comp lib="0" loc="(150,270)" name="Pin"> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="4" loc="(1900,270)" name="Register"/> - <comp lib="4" loc="(2780,270)" name="Register"/> - <comp lib="2" loc="(3390,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(470,270)" name="Register"/> - <comp lib="4" loc="(1460,270)" name="Register"/> - <comp lib="4" loc="(2670,270)" name="Register"/> - <comp lib="2" loc="(2840,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(90,290)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="4" loc="(2010,270)" name="Register"/> - <comp lib="2" loc="(530,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(420,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(2120,270)" name="Register"/> - <comp lib="4" loc="(1790,270)" name="Register"/> - <comp lib="2" loc="(2510,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(2890,270)" name="Register"/> - <comp lib="2" loc="(1850,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(3110,270)" name="Register"/> - <comp lib="4" loc="(1350,270)" name="Register"/> - <comp lib="4" loc="(3660,270)" name="Register"/> - <comp lib="2" loc="(860,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(1410,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(200,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(910,270)" name="Register"/> - <comp lib="4" loc="(580,270)" name="Register"/> - <comp lib="4" loc="(1570,270)" name="Register"/> - <comp lib="4" loc="(2230,270)" name="Register"/> - <comp lib="4" loc="(1240,270)" name="Register"/> - <comp lib="0" loc="(90,360)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="2" loc="(640,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(2290,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(1080,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(3330,270)" name="Register"/> - </circuit> - <circuit name="PC"> - <a name="circuit" val="PC"/> - <a name="clabel" val=""/> - <a name="clabelup" val="east"/> - <a name="clabelfont" val="SansSerif plain 12"/> - <appear> - <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/> - <text font-family="SansSerif" font-size="12" text-anchor="middle" x="65" y="69">PC</text> - <circ-port height="8" pin="170,360" width="8" x="56" y="46"/> - <circ-port height="8" pin="190,420" width="8" x="56" y="76"/> - <circ-port height="8" pin="200,360" width="8" x="76" y="56"/> - <circ-port height="10" pin="270,360" width="10" x="65" y="75"/> - <circ-port height="8" pin="250,360" width="8" x="46" y="66"/> - <circ-port height="10" pin="360,360" width="10" x="75" y="65"/> - <circ-port height="8" pin="190,400" width="8" x="46" y="56"/> - <circ-anchor facing="east" height="6" width="6" x="57" y="77"/> - </appear> - <wire from="(270,310)" to="(290,310)"/> - <wire from="(340,280)" to="(360,280)"/> - <wire from="(170,320)" to="(170,360)"/> - <wire from="(340,280)" to="(340,320)"/> - <wire from="(170,320)" to="(190,320)"/> - <wire from="(170,300)" to="(190,300)"/> - <wire from="(260,310)" to="(270,310)"/> - <wire from="(330,320)" to="(340,320)"/> - <wire from="(240,330)" to="(240,410)"/> - <wire from="(170,280)" to="(340,280)"/> - <wire from="(360,280)" to="(360,360)"/> - <wire from="(220,310)" to="(230,310)"/> - <wire from="(190,420)" to="(200,420)"/> - <wire from="(230,410)" to="(240,410)"/> - <wire from="(170,280)" to="(170,300)"/> - <wire from="(270,310)" to="(270,360)"/> - <wire from="(250,330)" to="(250,360)"/> - <wire from="(200,330)" to="(200,360)"/> - <comp lib="0" loc="(200,360)" name="Pin"> - <a name="facing" val="west"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="2" loc="(220,310)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="4" loc="(260,310)" name="Register"> - <a name="trigger" val="falling"/> - </comp> - <comp lib="0" loc="(270,360)" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(230,410)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate0" val="true"/> - </comp> - <comp lib="0" loc="(290,330)" name="Constant"> - <a name="width" val="8"/> - </comp> - <comp lib="0" loc="(360,360)" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(190,400)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(250,360)" name="Pin"> - <a name="facing" val="west"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="3" loc="(330,320)" name="Adder"/> - <comp lib="0" loc="(170,360)" name="Pin"> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(190,420)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - </circuit> -</project> +<?xml version="1.0" encoding="UTF-8" standalone="no"?> +<project source="2.7.1" version="1.0"> +This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/). +<lib desc="#Wiring" name="0"> + <tool name="Constant"> + <a name="value" val="0x0"/> + </tool> + </lib> + <lib desc="#Gates" name="1"/> + <lib desc="#Plexers" name="2"/> + <lib desc="#Arithmetic" name="3"/> + <lib desc="#Memory" name="4"> + <tool name="ROM"> + <a name="contents">addr/data: 8 8 +0 +</a> + </tool> + </lib> + <lib desc="#I/O" name="5"/> + <lib desc="#Base" name="6"> + <tool name="Text Tool"> + <a name="text" val=""/> + <a name="font" val="SansSerif plain 12"/> + <a name="halign" val="center"/> + <a name="valign" val="base"/> + </tool> + </lib> + <main name="MCU"/> + <options> + <a name="gateUndefined" val="ignore"/> + <a name="simlimit" val="1000"/> + <a name="simrand" val="0"/> + </options> + <mappings> + <tool lib="6" map="Button2" name="Menu Tool"/> + <tool lib="6" map="Button3" name="Menu Tool"/> + <tool lib="6" map="Ctrl Button1" name="Menu Tool"/> + </mappings> + <toolbar> + <tool lib="6" name="Poke Tool"/> + <tool lib="6" name="Edit Tool"/> + <tool lib="6" name="Text Tool"> + <a name="text" val=""/> + <a name="font" val="SansSerif plain 12"/> + <a name="halign" val="center"/> + <a name="valign" val="base"/> + </tool> + <sep/> + <tool lib="0" name="Pin"> + <a name="tristate" val="false"/> + </tool> + <tool lib="0" name="Pin"> + <a name="facing" val="west"/> + <a name="output" val="true"/> + <a name="labelloc" val="east"/> + </tool> + <tool lib="1" name="NOT Gate"/> + <tool lib="1" name="AND Gate"/> + <tool lib="1" name="OR Gate"/> + </toolbar> + <circuit name="MCU"> + <a name="circuit" val="MCU"/> + <a name="clabel" val=""/> + <a name="clabelup" val="east"/> + <a name="clabelfont" val="SansSerif plain 12"/> + <wire from="(600,440)" to="(600,450)"/> + <wire from="(220,250)" to="(220,320)"/> + <wire from="(330,210)" to="(380,210)"/> + <wire from="(180,180)" to="(230,180)"/> + <wire from="(510,430)" to="(510,440)"/> + <wire from="(260,220)" to="(260,230)"/> + <wire from="(540,370)" to="(540,440)"/> + <wire from="(210,230)" to="(210,370)"/> + <wire from="(560,450)" to="(600,450)"/> + <wire from="(140,20)" to="(380,20)"/> + <wire from="(350,100)" to="(350,180)"/> + <wire from="(180,210)" to="(180,300)"/> + <wire from="(270,360)" to="(310,360)"/> + <wire from="(220,100)" to="(220,130)"/> + <wire from="(640,440)" to="(640,460)"/> + <wire from="(290,70)" to="(320,70)"/> + <wire from="(260,130)" to="(280,130)"/> + <wire from="(500,450)" to="(520,450)"/> + <wire from="(160,30)" to="(180,30)"/> + <wire from="(460,380)" to="(470,380)"/> + <wire from="(160,260)" to="(240,260)"/> + <wire from="(380,300)" to="(390,300)"/> + <wire from="(230,350)" to="(240,350)"/> + <wire from="(220,130)" to="(220,250)"/> + <wire from="(380,140)" to="(380,210)"/> + <wire from="(200,320)" to="(200,390)"/> + <wire from="(140,430)" to="(140,440)"/> + <wire from="(230,100)" to="(350,100)"/> + <wire from="(330,140)" to="(380,140)"/> + <wire from="(180,190)" to="(230,190)"/> + <wire from="(300,150)" to="(300,160)"/> + <wire from="(500,430)" to="(500,450)"/> + <wire from="(160,30)" to="(160,180)"/> + <wire from="(180,70)" to="(180,90)"/> + <wire from="(230,80)" to="(230,100)"/> + <wire from="(250,220)" to="(250,240)"/> + <wire from="(140,20)" to="(140,110)"/> + <wire from="(230,350)" to="(230,440)"/> + <wire from="(190,170)" to="(230,170)"/> + <wire from="(200,300)" to="(240,300)"/> + <wire from="(180,30)" to="(180,60)"/> + <wire from="(160,210)" to="(160,240)"/> + <wire from="(130,580)" to="(230,580)"/> + <wire from="(220,50)" to="(250,50)"/> + <wire from="(530,410)" to="(550,410)"/> + <wire from="(140,200)" to="(140,430)"/> + <wire from="(170,210)" to="(170,310)"/> + <wire from="(200,390)" to="(420,390)"/> + <wire from="(260,140)" to="(280,140)"/> + <wire from="(130,620)" to="(130,660)"/> + <wire from="(390,190)" to="(390,300)"/> + <wire from="(190,120)" to="(190,170)"/> + <wire from="(160,330)" to="(170,330)"/> + <wire from="(230,640)" to="(240,640)"/> + <wire from="(180,60)" to="(250,60)"/> + <wire from="(160,240)" to="(230,240)"/> + <wire from="(380,20)" to="(380,140)"/> + <wire from="(130,590)" to="(130,600)"/> + <wire from="(250,150)" to="(250,160)"/> + <wire from="(290,110)" to="(340,110)"/> + <wire from="(180,200)" to="(230,200)"/> + <wire from="(210,230)" to="(260,230)"/> + <wire from="(290,110)" to="(290,120)"/> + <wire from="(290,150)" to="(290,160)"/> + <wire from="(570,430)" to="(570,460)"/> + <wire from="(200,300)" to="(200,320)"/> + <wire from="(140,110)" to="(140,200)"/> + <wire from="(500,370)" to="(540,370)"/> + <wire from="(210,370)" to="(240,370)"/> + <wire from="(310,360)" to="(470,360)"/> + <wire from="(250,240)" to="(340,240)"/> + <wire from="(390,30)" to="(390,190)"/> + <wire from="(200,110)" to="(290,110)"/> + <wire from="(330,180)" to="(350,180)"/> + <wire from="(240,220)" to="(240,260)"/> + <wire from="(220,410)" to="(500,410)"/> + <wire from="(180,30)" to="(390,30)"/> + <wire from="(230,240)" to="(230,350)"/> + <wire from="(230,240)" to="(250,240)"/> + <wire from="(230,80)" to="(250,80)"/> + <wire from="(220,630)" to="(240,630)"/> + <wire from="(570,460)" to="(640,460)"/> + <wire from="(140,200)" to="(150,200)"/> + <wire from="(180,70)" to="(250,70)"/> + <wire from="(180,120)" to="(180,180)"/> + <wire from="(330,190)" to="(390,190)"/> + <wire from="(270,90)" to="(270,160)"/> + <wire from="(160,260)" to="(160,330)"/> + <wire from="(340,110)" to="(340,240)"/> + <wire from="(240,150)" to="(240,160)"/> + <wire from="(180,210)" to="(230,210)"/> + <wire from="(220,250)" to="(270,250)"/> + <wire from="(320,150)" to="(320,160)"/> + <wire from="(260,650)" to="(260,660)"/> + <wire from="(310,340)" to="(310,360)"/> + <wire from="(130,560)" to="(130,580)"/> + <wire from="(220,320)" to="(220,410)"/> + <wire from="(270,220)" to="(270,250)"/> + <wire from="(140,430)" to="(500,430)"/> + <wire from="(520,430)" to="(520,450)"/> + <wire from="(560,430)" to="(560,450)"/> + <wire from="(140,110)" to="(170,110)"/> + <wire from="(510,440)" to="(540,440)"/> + <wire from="(220,320)" to="(240,320)"/> + <wire from="(200,100)" to="(220,100)"/> + <wire from="(220,50)" to="(220,100)"/> + <wire from="(220,130)" to="(230,130)"/> + <wire from="(320,70)" to="(320,120)"/> + <wire from="(130,660)" to="(260,660)"/> + <wire from="(230,580)" to="(230,640)"/> + <comp loc="(260,130)" name="ALU"/> + <comp lib="3" loc="(460,380)" name="Comparator"/> + <comp lib="0" loc="(130,590)" name="Splitter"> + <a name="facing" val="south"/> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> + </comp> + <comp loc="(230,170)" name="ISD"/> + <comp lib="0" loc="(220,630)" name="Splitter"> + <a name="facing" val="north"/> + <a name="fanout" val="8"/> + <a name="incoming" val="7"/> + </comp> + <comp lib="0" loc="(290,340)" name="Constant"> + <a name="facing" val="north"/> + </comp> + <comp loc="(190,90)" name="STK"/> + <comp loc="(280,150)" name="GPR"/> + <comp lib="1" loc="(270,360)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="5" loc="(600,440)" name="Hex Digit Display"/> + <comp lib="4" loc="(530,410)" name="Register"/> + <comp lib="2" loc="(290,70)" name="Multiplexer"> + <a name="select" val="2"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(140,440)" name="Pin"> + <a name="facing" val="north"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(550,410)" name="Splitter"> + <a name="facing" val="south"/> + <a name="incoming" val="8"/> + <a name="bit1" val="0"/> + <a name="bit2" val="0"/> + <a name="bit3" val="0"/> + <a name="bit4" val="1"/> + <a name="bit5" val="1"/> + <a name="bit6" val="1"/> + <a name="bit7" val="1"/> + </comp> + <comp lib="6" loc="(618,320)" name="Text"> + <a name="text" val="In this case, I have only one Memory Mapped output port mapped @ 0xFF."/> + </comp> + <comp lib="0" loc="(230,440)" name="Clock"> + <a name="facing" val="north"/> + </comp> + <comp loc="(160,210)" name="PC"/> + <comp lib="5" loc="(640,440)" name="Hex Digit Display"/> + <comp lib="6" loc="(586,480)" name="Text"> + <a name="text" val="For printing hex values from I/O"/> + </comp> + <comp lib="5" loc="(240,640)" name="TTY"/> + <comp lib="0" loc="(420,370)" name="Constant"> + <a name="width" val="8"/> + <a name="value" val="0xff"/> + </comp> + <comp lib="4" loc="(380,300)" name="RAM"> + <a name="bus" val="separate"/> + </comp> + <comp lib="1" loc="(500,370)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(200,320)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + </circuit> + <circuit name="ALU"> + <a name="circuit" val="ALU"/> + <a name="clabel" val=""/> + <a name="clabelup" val="east"/> + <a name="clabelfont" val="SansSerif plain 12"/> + <appear> + <path d="M61,51 Q65,61 69,51" fill="none" stroke="#808080" stroke-width="2"/> + <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/> + <text font-family="SansSerif" font-size="12" text-anchor="middle" x="64" y="72">ALU</text> + <circ-port height="10" pin="650,320" width="10" x="45" y="55"/> + <circ-port height="8" pin="590,400" width="8" x="66" y="76"/> + <circ-port height="8" pin="580,130" width="8" x="76" y="56"/> + <circ-port height="8" pin="710,130" width="8" x="76" y="66"/> + <circ-port height="10" pin="300,810" width="10" x="55" y="75"/> + <circ-anchor facing="east" height="6" width="6" x="77" y="57"/> + </appear> + <wire from="(320,270)" to="(320,340)"/> + <wire from="(190,670)" to="(190,680)"/> + <wire from="(200,360)" to="(200,370)"/> + <wire from="(340,100)" to="(390,100)"/> + <wire from="(340,580)" to="(340,590)"/> + <wire from="(270,750)" to="(270,770)"/> + <wire from="(340,340)" to="(340,360)"/> + <wire from="(190,110)" to="(190,130)"/> + <wire from="(200,280)" to="(200,300)"/> + <wire from="(180,290)" to="(180,380)"/> + <wire from="(140,410)" to="(140,500)"/> + <wire from="(220,330)" to="(220,420)"/> + <wire from="(300,540)" to="(300,570)"/> + <wire from="(290,290)" to="(290,320)"/> + <wire from="(220,780)" to="(220,810)"/> + <wire from="(260,610)" to="(260,700)"/> + <wire from="(150,650)" to="(180,650)"/> + <wire from="(320,270)" to="(340,270)"/> + <wire from="(320,430)" to="(340,430)"/> + <wire from="(190,140)" to="(210,140)"/> + <wire from="(130,480)" to="(150,480)"/> + <wire from="(130,720)" to="(150,720)"/> + <wire from="(250,120)" to="(270,120)"/> + <wire from="(190,380)" to="(210,380)"/> + <wire from="(180,740)" to="(180,790)"/> + <wire from="(280,560)" to="(290,560)"/> + <wire from="(290,330)" to="(300,330)"/> + <wire from="(290,90)" to="(300,90)"/> + <wire from="(330,130)" to="(340,130)"/> + <wire from="(140,500)" to="(150,500)"/> + <wire from="(190,550)" to="(200,550)"/> + <wire from="(200,480)" to="(210,480)"/> + <wire from="(200,720)" to="(210,720)"/> + <wire from="(220,420)" to="(230,420)"/> + <wire from="(320,720)" to="(320,790)"/> + <wire from="(190,400)" to="(190,410)"/> + <wire from="(200,90)" to="(200,100)"/> + <wire from="(340,550)" to="(390,550)"/> + <wire from="(340,310)" to="(340,320)"/> + <wire from="(270,480)" to="(270,500)"/> + <wire from="(260,790)" to="(260,810)"/> + <wire from="(340,70)" to="(340,90)"/> + <wire from="(340,790)" to="(340,810)"/> + <wire from="(190,560)" to="(190,580)"/> + <wire from="(200,730)" to="(200,750)"/> + <wire from="(140,140)" to="(140,230)"/> + <wire from="(300,270)" to="(300,300)"/> + <wire from="(290,740)" to="(290,770)"/> + <wire from="(260,340)" to="(260,430)"/> + <wire from="(150,380)" to="(180,380)"/> + <wire from="(320,160)" to="(340,160)"/> + <wire from="(250,570)" to="(270,570)"/> + <wire from="(320,720)" to="(340,720)"/> + <wire from="(180,70)" to="(180,110)"/> + <wire from="(190,110)" to="(210,110)"/> + <wire from="(130,450)" to="(150,450)"/> + <wire from="(130,210)" to="(150,210)"/> + <wire from="(190,590)" to="(210,590)"/> + <wire from="(280,290)" to="(290,290)"/> + <wire from="(290,780)" to="(300,780)"/> + <wire from="(290,540)" to="(300,540)"/> + <wire from="(330,580)" to="(340,580)"/> + <wire from="(140,230)" to="(150,230)"/> + <wire from="(200,210)" to="(210,210)"/> + <wire from="(190,280)" to="(200,280)"/> + <wire from="(220,150)" to="(230,150)"/> + <wire from="(200,450)" to="(210,450)"/> + <wire from="(320,450)" to="(320,520)"/> + <wire from="(190,130)" to="(190,140)"/> + <wire from="(200,540)" to="(200,550)"/> + <wire from="(340,280)" to="(390,280)"/> + <wire from="(340,760)" to="(340,770)"/> + <wire from="(270,210)" to="(270,230)"/> + <wire from="(340,520)" to="(340,540)"/> + <wire from="(200,460)" to="(200,480)"/> + <wire from="(190,290)" to="(190,310)"/> + <wire from="(180,470)" to="(180,560)"/> + <wire from="(140,590)" to="(140,680)"/> + <wire from="(220,510)" to="(220,600)"/> + <wire from="(260,70)" to="(260,160)"/> + <wire from="(290,470)" to="(290,500)"/> + <wire from="(300,720)" to="(300,750)"/> + <wire from="(150,110)" to="(180,110)"/> + <wire from="(320,610)" to="(340,610)"/> + <wire from="(320,450)" to="(340,450)"/> + <wire from="(130,660)" to="(150,660)"/> + <wire from="(130,180)" to="(150,180)"/> + <wire from="(190,320)" to="(210,320)"/> + <wire from="(190,560)" to="(210,560)"/> + <wire from="(250,300)" to="(270,300)"/> + <wire from="(280,740)" to="(290,740)"/> + <wire from="(290,270)" to="(300,270)"/> + <wire from="(290,510)" to="(300,510)"/> + <wire from="(330,310)" to="(340,310)"/> + <wire from="(140,680)" to="(150,680)"/> + <wire from="(190,730)" to="(200,730)"/> + <wire from="(200,180)" to="(210,180)"/> + <wire from="(200,660)" to="(210,660)"/> + <wire from="(220,600)" to="(230,600)"/> + <wire from="(320,180)" to="(320,250)"/> + <wire from="(200,270)" to="(200,280)"/> + <wire from="(190,580)" to="(190,590)"/> + <wire from="(340,730)" to="(390,730)"/> + <wire from="(340,490)" to="(340,500)"/> + <wire from="(270,660)" to="(270,680)"/> + <wire from="(340,250)" to="(340,270)"/> + <wire from="(140,770)" to="(140,790)"/> + <wire from="(200,190)" to="(200,210)"/> + <wire from="(190,740)" to="(190,760)"/> + <wire from="(140,320)" to="(140,410)"/> + <wire from="(180,200)" to="(180,290)"/> + <wire from="(220,240)" to="(220,330)"/> + <wire from="(300,450)" to="(300,480)"/> + <wire from="(290,200)" to="(290,230)"/> + <wire from="(260,520)" to="(260,610)"/> + <wire from="(150,560)" to="(180,560)"/> + <wire from="(320,180)" to="(340,180)"/> + <wire from="(250,750)" to="(270,750)"/> + <wire from="(320,340)" to="(340,340)"/> + <wire from="(130,390)" to="(150,390)"/> + <wire from="(130,630)" to="(150,630)"/> + <wire from="(190,770)" to="(210,770)"/> + <wire from="(190,290)" to="(210,290)"/> + <wire from="(290,720)" to="(300,720)"/> + <wire from="(290,240)" to="(300,240)"/> + <wire from="(280,470)" to="(290,470)"/> + <wire from="(330,760)" to="(340,760)"/> + <wire from="(140,410)" to="(150,410)"/> + <wire from="(200,630)" to="(210,630)"/> + <wire from="(200,390)" to="(210,390)"/> + <wire from="(190,460)" to="(200,460)"/> + <wire from="(220,330)" to="(230,330)"/> + <wire from="(320,630)" to="(320,700)"/> + <wire from="(190,310)" to="(190,320)"/> + <wire from="(200,720)" to="(200,730)"/> + <wire from="(340,460)" to="(390,460)"/> + <wire from="(340,220)" to="(340,230)"/> + <wire from="(270,390)" to="(270,410)"/> + <wire from="(340,700)" to="(340,720)"/> + <wire from="(190,470)" to="(190,490)"/> + <wire from="(200,640)" to="(200,660)"/> + <wire from="(220,690)" to="(220,780)"/> + <wire from="(180,650)" to="(180,740)"/> + <wire from="(300,180)" to="(300,210)"/> + <wire from="(290,650)" to="(290,680)"/> + <wire from="(260,250)" to="(260,340)"/> + <wire from="(150,290)" to="(180,290)"/> + <wire from="(250,480)" to="(270,480)"/> + <wire from="(320,790)" to="(340,790)"/> + <wire from="(320,630)" to="(340,630)"/> + <wire from="(130,360)" to="(150,360)"/> + <wire from="(130,120)" to="(150,120)"/> + <wire from="(190,740)" to="(210,740)"/> + <wire from="(190,500)" to="(210,500)"/> + <wire from="(280,200)" to="(290,200)"/> + <wire from="(290,450)" to="(300,450)"/> + <wire from="(290,690)" to="(300,690)"/> + <wire from="(330,490)" to="(340,490)"/> + <wire from="(140,140)" to="(150,140)"/> + <wire from="(190,190)" to="(200,190)"/> + <wire from="(200,360)" to="(210,360)"/> + <wire from="(200,120)" to="(210,120)"/> + <wire from="(220,780)" to="(230,780)"/> + <wire from="(320,360)" to="(320,430)"/> + <wire from="(190,760)" to="(190,770)"/> + <wire from="(200,450)" to="(200,460)"/> + <wire from="(340,190)" to="(390,190)"/> + <wire from="(340,670)" to="(340,680)"/> + <wire from="(300,70)" to="(300,90)"/> + <wire from="(270,120)" to="(270,140)"/> + <wire from="(340,430)" to="(340,450)"/> + <wire from="(190,200)" to="(190,220)"/> + <wire from="(200,370)" to="(200,390)"/> + <wire from="(140,500)" to="(140,590)"/> + <wire from="(180,380)" to="(180,470)"/> + <wire from="(220,420)" to="(220,510)"/> + <wire from="(290,380)" to="(290,410)"/> + <wire from="(300,630)" to="(300,660)"/> + <wire from="(260,700)" to="(260,790)"/> + <wire from="(150,740)" to="(180,740)"/> + <wire from="(320,520)" to="(340,520)"/> + <wire from="(320,360)" to="(340,360)"/> + <wire from="(130,90)" to="(150,90)"/> + <wire from="(190,230)" to="(210,230)"/> + <wire from="(130,570)" to="(150,570)"/> + <wire from="(190,470)" to="(210,470)"/> + <wire from="(250,210)" to="(270,210)"/> + <wire from="(290,180)" to="(300,180)"/> + <wire from="(280,650)" to="(290,650)"/> + <wire from="(290,420)" to="(300,420)"/> + <wire from="(330,220)" to="(340,220)"/> + <wire from="(140,590)" to="(150,590)"/> + <wire from="(190,640)" to="(200,640)"/> + <wire from="(200,90)" to="(210,90)"/> + <wire from="(200,570)" to="(210,570)"/> + <wire from="(220,510)" to="(230,510)"/> + <wire from="(320,90)" to="(320,160)"/> + <wire from="(140,70)" to="(140,140)"/> + <wire from="(200,180)" to="(200,190)"/> + <wire from="(190,490)" to="(190,500)"/> + <wire from="(340,640)" to="(390,640)"/> + <wire from="(340,400)" to="(340,410)"/> + <wire from="(270,570)" to="(270,590)"/> + <wire from="(340,160)" to="(340,180)"/> + <wire from="(220,70)" to="(220,150)"/> + <wire from="(200,100)" to="(200,120)"/> + <wire from="(190,650)" to="(190,670)"/> + <wire from="(180,110)" to="(180,200)"/> + <wire from="(140,230)" to="(140,320)"/> + <wire from="(220,150)" to="(220,240)"/> + <wire from="(290,110)" to="(290,140)"/> + <wire from="(300,360)" to="(300,390)"/> + <wire from="(260,430)" to="(260,520)"/> + <wire from="(150,470)" to="(180,470)"/> + <wire from="(250,660)" to="(270,660)"/> + <wire from="(320,90)" to="(340,90)"/> + <wire from="(320,250)" to="(340,250)"/> + <wire from="(130,540)" to="(150,540)"/> + <wire from="(130,300)" to="(150,300)"/> + <wire from="(190,200)" to="(210,200)"/> + <wire from="(190,680)" to="(210,680)"/> + <wire from="(290,150)" to="(300,150)"/> + <wire from="(290,630)" to="(300,630)"/> + <wire from="(280,380)" to="(290,380)"/> + <wire from="(330,670)" to="(340,670)"/> + <wire from="(140,320)" to="(150,320)"/> + <wire from="(220,240)" to="(230,240)"/> + <wire from="(200,300)" to="(210,300)"/> + <wire from="(190,370)" to="(200,370)"/> + <wire from="(200,540)" to="(210,540)"/> + <wire from="(320,540)" to="(320,610)"/> + <wire from="(190,220)" to="(190,230)"/> + <wire from="(200,630)" to="(200,640)"/> + <wire from="(340,370)" to="(390,370)"/> + <wire from="(340,130)" to="(340,140)"/> + <wire from="(270,300)" to="(270,320)"/> + <wire from="(340,610)" to="(340,630)"/> + <wire from="(190,380)" to="(190,400)"/> + <wire from="(200,550)" to="(200,570)"/> + <wire from="(140,680)" to="(140,770)"/> + <wire from="(180,560)" to="(180,650)"/> + <wire from="(220,600)" to="(220,690)"/> + <wire from="(290,560)" to="(290,590)"/> + <wire from="(300,90)" to="(300,120)"/> + <wire from="(260,160)" to="(260,250)"/> + <wire from="(150,200)" to="(180,200)"/> + <wire from="(320,540)" to="(340,540)"/> + <wire from="(320,700)" to="(340,700)"/> + <wire from="(130,750)" to="(150,750)"/> + <wire from="(130,270)" to="(150,270)"/> + <wire from="(190,650)" to="(210,650)"/> + <wire from="(190,410)" to="(210,410)"/> + <wire from="(250,390)" to="(270,390)"/> + <wire from="(290,600)" to="(300,600)"/> + <wire from="(290,360)" to="(300,360)"/> + <wire from="(280,110)" to="(290,110)"/> + <wire from="(330,400)" to="(340,400)"/> + <wire from="(140,770)" to="(150,770)"/> + <wire from="(190,100)" to="(200,100)"/> + <wire from="(220,690)" to="(230,690)"/> + <wire from="(200,270)" to="(210,270)"/> + <wire from="(200,750)" to="(210,750)"/> + <comp lib="0" loc="(130,390)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a3"/> + </comp> + <comp lib="0" loc="(130,120)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a0"/> + </comp> + <comp lib="1" loc="(280,380)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,290)" name="Tunnel"> + <a name="label" val="o5"/> + </comp> + <comp lib="1" loc="(240,670)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(280,200)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(340,370)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(560,180)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b4"/> + </comp> + <comp lib="1" loc="(190,190)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(270,410)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(310,510)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(749,472)" name="Text"> + <a name="text" val="OPCODES: 000: OR, 001: AND, 010: XOR, 011: ADD, 100: CIN, 101: NAND, 110: XNOR, 111: SUB"/> + </comp> + <comp lib="0" loc="(690,160)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a2"/> + </comp> + <comp lib="0" loc="(690,140)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a0"/> + </comp> + <comp lib="1" loc="(250,370)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(130,360)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b3"/> + </comp> + <comp lib="1" loc="(240,760)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(130,270)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b2"/> + </comp> + <comp lib="0" loc="(130,480)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a4"/> + </comp> + <comp lib="0" loc="(130,210)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a1"/> + </comp> + <comp lib="0" loc="(750,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="!B"/> + </comp> + <comp lib="0" loc="(130,630)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b6"/> + </comp> + <comp lib="1" loc="(280,110)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(250,640)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(280,560)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,250)" name="Tunnel"> + <a name="label" val="o1"/> + </comp> + <comp lib="1" loc="(310,690)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(690,200)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a6"/> + </comp> + <comp lib="1" loc="(310,600)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,330)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(330,400)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(670,300)" name="Tunnel"> + <a name="label" val="o6"/> + </comp> + <comp lib="1" loc="(300,360)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(310,240)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,760)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(130,660)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a6"/> + </comp> + <comp lib="0" loc="(690,210)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a7"/> + </comp> + <comp lib="0" loc="(260,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="CC"/> + </comp> + <comp lib="0" loc="(560,160)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b2"/> + </comp> + <comp lib="1" loc="(250,730)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(130,750)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a7"/> + </comp> + <comp lib="1" loc="(340,100)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(580,130)" name="Pin"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(690,190)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a5"/> + </comp> + <comp lib="1" loc="(190,730)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(300,810)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="tristate" val="false"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(390,730)" name="Tunnel"> + <a name="label" val="o7"/> + </comp> + <comp lib="1" loc="(330,490)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(310,150)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(190,370)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(270,140)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(130,570)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a5"/> + </comp> + <comp lib="0" loc="(560,170)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b3"/> + </comp> + <comp lib="1" loc="(300,180)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(300,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="CI"/> + </comp> + <comp lib="1" loc="(310,330)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="4" loc="(730,400)" name="ROM"> + <a name="addrWidth" val="3"/> + <a name="dataWidth" val="6"/> + <a name="contents">addr/data: 3 6 +8 3b 4 0 2 38 7 12 +</a> + </comp> + <comp lib="1" loc="(280,470)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="FC"/> + </comp> + <comp lib="1" loc="(190,490)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(340,280)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(250,550)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,280)" name="Tunnel"> + <a name="label" val="o4"/> + </comp> + <comp lib="0" loc="(340,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="FC"/> + </comp> + <comp lib="1" loc="(190,580)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(340,460)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,810)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,130)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(240,580)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(710,130)" name="Splitter"> + <a name="facing" val="west"/> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> + </comp> + <comp lib="1" loc="(270,680)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(250,190)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(190,310)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(690,170)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a3"/> + </comp> + <comp lib="1" loc="(310,420)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(340,190)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(140,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="!A"/> + </comp> + <comp lib="0" loc="(670,260)" name="Tunnel"> + <a name="label" val="o2"/> + </comp> + <comp lib="0" loc="(590,400)" name="Pin"> + <a name="width" val="3"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(560,150)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b1"/> + </comp> + <comp lib="0" loc="(390,640)" name="Tunnel"> + <a name="label" val="o6"/> + </comp> + <comp lib="1" loc="(340,550)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(650,320)" name="Pin"> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(300,600)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(300,540)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,220)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(180,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="!B"/> + </comp> + <comp lib="0" loc="(390,550)" name="Tunnel"> + <a name="label" val="o5"/> + </comp> + <comp lib="1" loc="(240,490)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(560,210)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b7"/> + </comp> + <comp lib="0" loc="(650,320)" name="Splitter"> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> + </comp> + <comp lib="0" loc="(670,310)" name="Tunnel"> + <a name="label" val="o7"/> + </comp> + <comp lib="0" loc="(390,190)" name="Tunnel"> + <a name="label" val="o1"/> + </comp> + <comp lib="1" loc="(340,730)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(270,770)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(390,280)" name="Tunnel"> + <a name="label" val="o2"/> + </comp> + <comp lib="0" loc="(560,200)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b6"/> + </comp> + <comp lib="1" loc="(190,400)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(220,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="OR"/> + </comp> + <comp lib="1" loc="(240,400)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(240,130)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(310,780)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(250,460)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(190,280)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(560,140)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b0"/> + </comp> + <comp lib="1" loc="(250,100)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(270,230)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(710,130)" name="Pin"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(390,370)" name="Tunnel"> + <a name="label" val="o3"/> + </comp> + <comp lib="1" loc="(190,670)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,670)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(270,320)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(670,270)" name="Tunnel"> + <a name="label" val="o3"/> + </comp> + <comp lib="0" loc="(760,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="OR"/> + </comp> + <comp lib="0" loc="(690,150)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a1"/> + </comp> + <comp lib="0" loc="(130,720)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b7"/> + </comp> + <comp lib="0" loc="(130,300)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a2"/> + </comp> + <comp lib="0" loc="(690,180)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a4"/> + </comp> + <comp lib="0" loc="(580,130)" name="Splitter"> + <a name="facing" val="west"/> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> + </comp> + <comp lib="0" loc="(390,460)" name="Tunnel"> + <a name="label" val="o4"/> + </comp> + <comp lib="1" loc="(280,290)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(340,640)" name="XNOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(740,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="!A"/> + </comp> + <comp lib="1" loc="(280,650)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(612,164)" name="Text"> + <a name="text" val="Input B"/> + </comp> + <comp lib="1" loc="(190,130)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,630)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,450)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(130,180)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b1"/> + </comp> + <comp lib="1" loc="(300,780)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(300,420)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(560,190)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b5"/> + </comp> + <comp lib="1" loc="(270,590)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(240,310)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(770,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="CC"/> + </comp> + <comp lib="1" loc="(240,220)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,690)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="6" loc="(611,272)" name="Text"> + <a name="text" val="Output"/> + </comp> + <comp lib="1" loc="(190,640)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(746,165)" name="Text"> + <a name="text" val="Input A"/> + </comp> + <comp lib="0" loc="(780,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="CI"/> + </comp> + <comp lib="1" loc="(190,220)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,150)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(670,240)" name="Tunnel"> + <a name="label" val="o0"/> + </comp> + <comp lib="1" loc="(280,740)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,240)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(190,550)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(250,280)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(190,100)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(624,352)" name="Text"> + <a name="text" val="Opcodes"/> + </comp> + <comp lib="0" loc="(730,400)" name="Splitter"> + <a name="facing" val="north"/> + <a name="fanout" val="6"/> + <a name="incoming" val="6"/> + <a name="appear" val="right"/> + </comp> + <comp lib="0" loc="(640,440)" name="Constant"/> + <comp lib="1" loc="(190,760)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(390,100)" name="Tunnel"> + <a name="label" val="o0"/> + </comp> + <comp lib="1" loc="(190,460)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(130,540)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b5"/> + </comp> + <comp lib="1" loc="(300,720)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,580)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(130,450)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b4"/> + </comp> + <comp lib="1" loc="(330,310)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(130,90)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b0"/> + </comp> + <comp lib="1" loc="(300,270)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(270,500)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(300,510)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + </circuit> + <circuit name="GPR"> + <a name="circuit" val="GPR"/> + <a name="clabel" val=""/> + <a name="clabelup" val="east"/> + <a name="clabelfont" val="SansSerif plain 12"/> + <appear> + <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="49" x="50" y="70"/> + <text font-family="SansSerif" font-size="12" text-anchor="middle" x="74" y="89">GPR</text> + <circ-port height="8" pin="100,90" width="8" x="86" y="96"/> + <circ-port height="8" pin="140,130" width="8" x="86" y="66"/> + <circ-port height="10" pin="140,230" width="10" x="45" y="75"/> + <circ-port height="10" pin="140,260" width="10" x="45" y="85"/> + <circ-port height="8" pin="150,340" width="8" x="56" y="96"/> + <circ-port height="8" pin="240,340" width="8" x="66" y="96"/> + <circ-port height="8" pin="110,60" width="8" x="56" y="66"/> + <circ-port height="8" pin="130,200" width="8" x="96" y="86"/> + <circ-anchor facing="east" height="6" width="6" x="47" y="97"/> + </appear> + <wire from="(220,250)" to="(220,320)"/> + <wire from="(210,120)" to="(210,190)"/> + <wire from="(140,260)" to="(190,260)"/> + <wire from="(180,100)" to="(180,120)"/> + <wire from="(180,300)" to="(180,320)"/> + <wire from="(170,100)" to="(170,190)"/> + <wire from="(160,130)" to="(200,130)"/> + <wire from="(270,210)" to="(270,240)"/> + <wire from="(200,130)" to="(240,130)"/> + <wire from="(230,260)" to="(270,260)"/> + <wire from="(190,260)" to="(230,260)"/> + <wire from="(170,290)" to="(170,320)"/> + <wire from="(190,210)" to="(190,240)"/> + <wire from="(230,210)" to="(230,240)"/> + <wire from="(180,280)" to="(210,280)"/> + <wire from="(170,290)" to="(200,290)"/> + <wire from="(180,120)" to="(210,120)"/> + <wire from="(270,260)" to="(290,260)"/> + <wire from="(190,170)" to="(190,210)"/> + <wire from="(230,170)" to="(230,210)"/> + <wire from="(270,170)" to="(270,210)"/> + <wire from="(140,130)" to="(160,130)"/> + <wire from="(150,270)" to="(150,320)"/> + <wire from="(280,220)" to="(280,270)"/> + <wire from="(150,270)" to="(280,270)"/> + <wire from="(200,220)" to="(200,290)"/> + <wire from="(180,300)" to="(240,300)"/> + <wire from="(190,110)" to="(250,110)"/> + <wire from="(180,190)" to="(180,200)"/> + <wire from="(190,100)" to="(190,110)"/> + <wire from="(220,190)" to="(220,200)"/> + <wire from="(200,310)" to="(200,320)"/> + <wire from="(130,200)" to="(180,200)"/> + <wire from="(240,130)" to="(290,130)"/> + <wire from="(260,190)" to="(260,200)"/> + <wire from="(250,110)" to="(250,190)"/> + <wire from="(240,220)" to="(240,300)"/> + <wire from="(180,200)" to="(220,200)"/> + <wire from="(170,230)" to="(210,230)"/> + <wire from="(260,250)" to="(260,280)"/> + <wire from="(210,230)" to="(250,230)"/> + <wire from="(250,230)" to="(290,230)"/> + <wire from="(220,200)" to="(260,200)"/> + <wire from="(180,250)" to="(180,280)"/> + <wire from="(200,310)" to="(300,310)"/> + <wire from="(130,80)" to="(160,80)"/> + <wire from="(140,230)" to="(170,230)"/> + <wire from="(230,280)" to="(260,280)"/> + <wire from="(160,220)" to="(160,320)"/> + <wire from="(280,220)" to="(300,220)"/> + <wire from="(160,130)" to="(160,170)"/> + <wire from="(200,130)" to="(200,170)"/> + <wire from="(230,280)" to="(230,320)"/> + <wire from="(240,130)" to="(240,170)"/> + <wire from="(210,280)" to="(210,320)"/> + <wire from="(170,210)" to="(190,210)"/> + <wire from="(250,210)" to="(270,210)"/> + <wire from="(210,210)" to="(230,210)"/> + <wire from="(260,250)" to="(270,250)"/> + <wire from="(180,250)" to="(190,250)"/> + <wire from="(220,250)" to="(230,250)"/> + <wire from="(300,250)" to="(300,310)"/> + <comp lib="0" loc="(150,340)" name="Pin"> + <a name="width" val="2"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="1" loc="(270,260)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="0" loc="(240,340)" name="Pin"> + <a name="facing" val="west"/> + <a name="width" val="2"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="2" loc="(160,80)" name="Decoder"> + <a name="facing" val="south"/> + <a name="select" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(100,70)" name="Constant"> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(230,260)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="1" loc="(250,230)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="0" loc="(200,180)" name="Constant"/> + <comp lib="0" loc="(100,90)" name="Pin"> + <a name="width" val="2"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(160,180)" name="Constant"/> + <comp lib="1" loc="(210,230)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="2" loc="(150,340)" name="Decoder"> + <a name="facing" val="north"/> + <a name="select" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(240,180)" name="Constant"/> + <comp lib="4" loc="(230,170)" name="Register"/> + <comp lib="2" loc="(130,80)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(140,230)" name="Pin"> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(140,260)" name="Pin"> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(170,230)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="1" loc="(290,230)" name="Controlled Buffer"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + </comp> + <comp lib="0" loc="(140,130)" name="Pin"> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="1" loc="(190,260)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="0" loc="(310,260)" name="Constant"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(130,200)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="4" loc="(270,170)" name="Register"/> + <comp lib="4" loc="(190,170)" name="Register"/> + <comp lib="2" loc="(240,340)" name="Decoder"> + <a name="facing" val="north"/> + <a name="selloc" val="tr"/> + <a name="select" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(110,60)" name="Pin"> + <a name="facing" val="south"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(310,230)" name="Constant"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(290,260)" name="Controlled Buffer"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + </comp> + </circuit> + <circuit name="ISD"> + <a name="circuit" val="ISD"/> + <a name="clabel" val=""/> + <a name="clabelup" val="east"/> + <a name="clabelfont" val="SansSerif plain 12"/> + <appear> + <rect fill="none" height="61" stroke="#000000" stroke-width="2" width="100" x="50" y="50"/> + <text font-family="SansSerif" font-size="12" text-anchor="middle" x="99" y="84">ISD</text> + <circ-port height="8" pin="80,50" width="8" x="146" y="76"/> + <circ-port height="10" pin="610,1520" width="10" x="85" y="45"/> + <circ-port height="10" pin="680,1520" width="10" x="45" y="95"/> + <circ-port height="10" pin="770,1570" width="10" x="45" y="75"/> + <circ-port height="10" pin="760,1520" width="10" x="45" y="65"/> + <circ-port height="10" pin="800,1520" width="10" x="45" y="55"/> + <circ-port height="10" pin="430,1520" width="10" x="65" y="45"/> + <circ-port height="10" pin="840,1520" width="10" x="105" y="45"/> + <circ-port height="10" pin="880,1520" width="10" x="115" y="45"/> + <circ-port height="10" pin="920,1520" width="10" x="135" y="45"/> + <circ-port height="8" pin="190,1600" width="8" x="86" y="106"/> + <circ-port height="10" pin="570,1600" width="10" x="145" y="65"/> + <circ-port height="10" pin="330,1600" width="10" x="55" y="105"/> + <circ-port height="8" pin="80,80" width="8" x="66" y="106"/> + <circ-port height="8" pin="670,1580" width="8" x="56" y="46"/> + <circ-port height="10" pin="960,1520" width="10" x="75" y="105"/> + <circ-port height="8" pin="80,110" width="8" x="146" y="96"/> + <circ-port height="8" pin="440,1600" width="8" x="46" y="86"/> + <circ-anchor facing="east" height="6" width="6" x="47" y="57"/> + </appear> + <wire from="(190,580)" to="(250,580)"/> + <wire from="(430,110)" to="(430,120)"/> + <wire from="(250,1530)" to="(250,1550)"/> + <wire from="(170,960)" to="(280,960)"/> + <wire from="(360,1320)" to="(360,1340)"/> + <wire from="(830,830)" to="(830,850)"/> + <wire from="(220,90)" to="(220,180)"/> + <wire from="(240,430)" to="(240,520)"/> + <wire from="(200,390)" to="(200,480)"/> + <wire from="(870,1190)" to="(870,1210)"/> + <wire from="(240,970)" to="(280,970)"/> + <wire from="(430,200)" to="(470,200)"/> + <wire from="(220,1370)" to="(220,1470)"/> + <wire from="(850,1280)" to="(870,1280)"/> + <wire from="(910,380)" to="(930,380)"/> + <wire from="(240,340)" to="(270,340)"/> + <wire from="(1020,1140)" to="(1030,1140)"/> + <wire from="(170,1320)" to="(170,1430)"/> + <wire from="(1110,1100)" to="(1110,1480)"/> + <wire from="(440,240)" to="(450,240)"/> + <wire from="(480,600)" to="(490,600)"/> + <wire from="(690,690)" to="(690,750)"/> + <wire from="(650,330)" to="(650,390)"/> + <wire from="(730,1050)" to="(730,1110)"/> + <wire from="(370,690)" to="(370,750)"/> + <wire from="(980,780)" to="(990,780)"/> + <wire from="(410,1050)" to="(410,1110)"/> + <wire from="(800,600)" to="(810,600)"/> + <wire from="(920,1240)" to="(920,1290)"/> + <wire from="(760,240)" to="(770,240)"/> + <wire from="(520,960)" to="(530,960)"/> + <wire from="(840,520)" to="(840,570)"/> + <wire from="(880,880)" to="(880,930)"/> + <wire from="(510,1280)" to="(510,1290)"/> + <wire from="(870,1400)" to="(920,1400)"/> + <wire from="(930,420)" to="(930,430)"/> + <wire from="(190,1030)" to="(250,1030)"/> + <wire from="(890,60)" to="(890,70)"/> + <wire from="(210,730)" to="(270,730)"/> + <wire from="(230,440)" to="(280,440)"/> + <wire from="(180,500)" to="(180,590)"/> + <wire from="(200,840)" to="(200,930)"/> + <wire from="(830,1280)" to="(830,1300)"/> + <wire from="(220,540)" to="(220,630)"/> + <wire from="(240,880)" to="(240,970)"/> + <wire from="(830,110)" to="(850,110)"/> + <wire from="(870,470)" to="(890,470)"/> + <wire from="(220,450)" to="(250,450)"/> + <wire from="(910,830)" to="(930,830)"/> + <wire from="(1070,240)" to="(1070,300)"/> + <wire from="(400,330)" to="(410,330)"/> + <wire from="(480,1050)" to="(490,1050)"/> + <wire from="(440,690)" to="(450,690)"/> + <wire from="(180,1450)" to="(260,1450)"/> + <wire from="(320,1530)" to="(330,1530)"/> + <wire from="(570,60)" to="(570,120)"/> + <wire from="(610,420)" to="(610,480)"/> + <wire from="(650,780)" to="(650,840)"/> + <wire from="(690,1140)" to="(690,1200)"/> + <wire from="(200,840)" to="(270,840)"/> + <wire from="(980,1230)" to="(990,1230)"/> + <wire from="(800,1050)" to="(810,1050)"/> + <wire from="(370,1140)" to="(370,1200)"/> + <wire from="(760,690)" to="(770,690)"/> + <wire from="(720,330)" to="(730,330)"/> + <wire from="(840,970)" to="(840,1020)"/> + <wire from="(710,650)" to="(710,660)"/> + <wire from="(610,1510)" to="(610,1520)"/> + <wire from="(930,870)" to="(930,880)"/> + <wire from="(850,150)" to="(850,160)"/> + <wire from="(890,510)" to="(890,520)"/> + <wire from="(230,890)" to="(280,890)"/> + <wire from="(220,990)" to="(220,1080)"/> + <wire from="(180,950)" to="(180,1040)"/> + <wire from="(830,560)" to="(850,560)"/> + <wire from="(870,920)" to="(890,920)"/> + <wire from="(910,1280)" to="(930,1280)"/> + <wire from="(190,940)" to="(280,940)"/> + <wire from="(200,1290)" to="(200,1390)"/> + <wire from="(330,380)" to="(350,380)"/> + <wire from="(1070,690)" to="(1070,750)"/> + <wire from="(400,780)" to="(410,780)"/> + <wire from="(440,1140)" to="(450,1140)"/> + <wire from="(360,420)" to="(370,420)"/> + <wire from="(1030,330)" to="(1030,390)"/> + <wire from="(530,150)" to="(530,210)"/> + <wire from="(570,510)" to="(570,570)"/> + <wire from="(610,870)" to="(610,930)"/> + <wire from="(650,1230)" to="(650,1290)"/> + <wire from="(920,340)" to="(930,340)"/> + <wire from="(760,1140)" to="(770,1140)"/> + <wire from="(680,420)" to="(690,420)"/> + <wire from="(720,780)" to="(730,780)"/> + <wire from="(640,60)" to="(650,60)"/> + <wire from="(680,1320)" to="(680,1520)"/> + <wire from="(830,1360)" to="(950,1360)"/> + <wire from="(850,600)" to="(850,610)"/> + <wire from="(890,960)" to="(890,970)"/> + <wire from="(120,1680)" to="(300,1680)"/> + <wire from="(700,1600)" to="(700,1690)"/> + <wire from="(960,1510)" to="(1060,1510)"/> + <wire from="(190,130)" to="(190,220)"/> + <wire from="(230,170)" to="(230,260)"/> + <wire from="(830,1010)" to="(850,1010)"/> + <wire from="(890,110)" to="(910,110)"/> + <wire from="(100,1690)" to="(250,1690)"/> + <wire from="(1070,1140)" to="(1070,1200)"/> + <wire from="(810,240)" to="(810,300)"/> + <wire from="(400,1230)" to="(410,1230)"/> + <wire from="(170,420)" to="(250,420)"/> + <wire from="(1030,780)" to="(1030,840)"/> + <wire from="(190,760)" to="(270,760)"/> + <wire from="(360,870)" to="(370,870)"/> + <wire from="(990,420)" to="(990,480)"/> + <wire from="(530,600)" to="(530,660)"/> + <wire from="(570,960)" to="(570,1020)"/> + <wire from="(920,790)" to="(930,790)"/> + <wire from="(290,1400)" to="(870,1400)"/> + <wire from="(330,470)" to="(590,470)"/> + <wire from="(840,70)" to="(850,70)"/> + <wire from="(880,430)" to="(890,430)"/> + <wire from="(490,240)" to="(490,300)"/> + <wire from="(680,870)" to="(690,870)"/> + <wire from="(720,1230)" to="(730,1230)"/> + <wire from="(600,150)" to="(610,150)"/> + <wire from="(640,510)" to="(650,510)"/> + <wire from="(550,110)" to="(550,120)"/> + <wire from="(590,470)" to="(590,480)"/> + <wire from="(850,1050)" to="(850,1060)"/> + <wire from="(200,480)" to="(250,480)"/> + <wire from="(590,560)" to="(630,560)"/> + <wire from="(170,240)" to="(170,330)"/> + <wire from="(870,110)" to="(870,130)"/> + <wire from="(230,620)" to="(230,710)"/> + <wire from="(210,280)" to="(210,370)"/> + <wire from="(190,580)" to="(190,670)"/> + <wire from="(910,470)" to="(910,490)"/> + <wire from="(350,1280)" to="(390,1280)"/> + <wire from="(250,1600)" to="(250,1690)"/> + <wire from="(930,1320)" to="(930,1430)"/> + <wire from="(850,200)" to="(870,200)"/> + <wire from="(890,560)" to="(910,560)"/> + <wire from="(290,1640)" to="(1020,1640)"/> + <wire from="(1060,420)" to="(1070,420)"/> + <wire from="(430,1330)" to="(430,1490)"/> + <wire from="(330,1280)" to="(350,1280)"/> + <wire from="(670,1100)" to="(830,1100)"/> + <wire from="(1030,1230)" to="(1030,1290)"/> + <wire from="(1060,1320)" to="(1060,1510)"/> + <wire from="(810,690)" to="(810,750)"/> + <wire from="(990,870)" to="(990,930)"/> + <wire from="(530,1050)" to="(530,1110)"/> + <wire from="(770,330)" to="(770,390)"/> + <wire from="(80,80)" to="(90,80)"/> + <wire from="(920,1240)" to="(930,1240)"/> + <wire from="(1020,60)" to="(1030,60)"/> + <wire from="(330,920)" to="(590,920)"/> + <wire from="(450,330)" to="(450,390)"/> + <wire from="(840,520)" to="(850,520)"/> + <wire from="(480,1320)" to="(480,1380)"/> + <wire from="(490,690)" to="(490,750)"/> + <wire from="(880,880)" to="(890,880)"/> + <wire from="(920,160)" to="(920,210)"/> + <wire from="(640,960)" to="(650,960)"/> + <wire from="(560,240)" to="(570,240)"/> + <wire from="(190,70)" to="(190,130)"/> + <wire from="(600,600)" to="(610,600)"/> + <wire from="(120,80)" to="(120,1680)"/> + <wire from="(590,920)" to="(590,930)"/> + <wire from="(190,1550)" to="(250,1550)"/> + <wire from="(330,1190)" to="(510,1190)"/> + <wire from="(510,200)" to="(510,210)"/> + <wire from="(220,630)" to="(270,630)"/> + <wire from="(170,690)" to="(170,780)"/> + <wire from="(830,200)" to="(830,220)"/> + <wire from="(190,1030)" to="(190,1120)"/> + <wire from="(870,560)" to="(870,580)"/> + <wire from="(210,730)" to="(210,820)"/> + <wire from="(910,920)" to="(910,940)"/> + <wire from="(210,1270)" to="(250,1270)"/> + <wire from="(230,1070)" to="(230,1160)"/> + <wire from="(510,290)" to="(550,290)"/> + <wire from="(180,1310)" to="(280,1310)"/> + <wire from="(550,290)" to="(830,290)"/> + <wire from="(850,650)" to="(870,650)"/> + <wire from="(890,1010)" to="(910,1010)"/> + <wire from="(610,1320)" to="(610,1490)"/> + <wire from="(1200,1650)" to="(1210,1650)"/> + <wire from="(180,680)" to="(270,680)"/> + <wire from="(1060,870)" to="(1070,870)"/> + <wire from="(590,830)" to="(750,830)"/> + <wire from="(720,1560)" to="(720,1660)"/> + <wire from="(770,780)" to="(770,840)"/> + <wire from="(810,1140)" to="(810,1200)"/> + <wire from="(690,60)" to="(690,120)"/> + <wire from="(730,420)" to="(730,480)"/> + <wire from="(980,150)" to="(990,150)"/> + <wire from="(370,60)" to="(370,120)"/> + <wire from="(1020,510)" to="(1030,510)"/> + <wire from="(410,420)" to="(410,480)"/> + <wire from="(840,970)" to="(850,970)"/> + <wire from="(490,1140)" to="(490,1200)"/> + <wire from="(450,780)" to="(450,840)"/> + <wire from="(920,610)" to="(920,660)"/> + <wire from="(520,330)" to="(530,330)"/> + <wire from="(560,690)" to="(570,690)"/> + <wire from="(600,1050)" to="(610,1050)"/> + <wire from="(880,250)" to="(880,300)"/> + <wire from="(970,470)" to="(970,480)"/> + <wire from="(190,400)" to="(250,400)"/> + <wire from="(220,1080)" to="(270,1080)"/> + <wire from="(470,290)" to="(470,300)"/> + <wire from="(170,780)" to="(280,780)"/> + <wire from="(830,650)" to="(830,670)"/> + <wire from="(870,1010)" to="(870,1030)"/> + <wire from="(210,1180)" to="(210,1270)"/> + <wire from="(240,250)" to="(240,340)"/> + <wire from="(170,1140)" to="(170,1230)"/> + <wire from="(200,210)" to="(200,300)"/> + <wire from="(290,1440)" to="(900,1440)"/> + <wire from="(240,790)" to="(280,790)"/> + <wire from="(470,380)" to="(510,380)"/> + <wire from="(910,200)" to="(930,200)"/> + <wire from="(850,1100)" to="(870,1100)"/> + <wire from="(180,1130)" to="(270,1130)"/> + <wire from="(770,1230)" to="(770,1290)"/> + <wire from="(440,60)" to="(450,60)"/> + <wire from="(480,420)" to="(490,420)"/> + <wire from="(650,150)" to="(650,210)"/> + <wire from="(690,510)" to="(690,570)"/> + <wire from="(730,870)" to="(730,930)"/> + <wire from="(110,50)" to="(120,50)"/> + <wire from="(1020,960)" to="(1030,960)"/> + <wire from="(210,1180)" to="(280,1180)"/> + <wire from="(980,600)" to="(990,600)"/> + <wire from="(370,510)" to="(370,570)"/> + <wire from="(800,420)" to="(810,420)"/> + <wire from="(410,870)" to="(410,930)"/> + <wire from="(450,1230)" to="(450,1290)"/> + <wire from="(920,1060)" to="(920,1110)"/> + <wire from="(760,60)" to="(770,60)"/> + <wire from="(520,780)" to="(530,780)"/> + <wire from="(840,340)" to="(840,390)"/> + <wire from="(880,700)" to="(880,750)"/> + <wire from="(560,1140)" to="(570,1140)"/> + <wire from="(510,1100)" to="(510,1110)"/> + <wire from="(360,1340)" to="(420,1340)"/> + <wire from="(800,1320)" to="(800,1520)"/> + <wire from="(930,240)" to="(930,250)"/> + <wire from="(790,740)" to="(790,750)"/> + <wire from="(230,260)" to="(280,260)"/> + <wire from="(170,1230)" to="(280,1230)"/> + <wire from="(500,1340)" to="(500,1560)"/> + <wire from="(180,320)" to="(180,410)"/> + <wire from="(240,700)" to="(240,790)"/> + <wire from="(830,1100)" to="(830,1120)"/> + <wire from="(200,660)" to="(200,750)"/> + <wire from="(220,360)" to="(220,450)"/> + <wire from="(240,1240)" to="(280,1240)"/> + <wire from="(390,110)" to="(430,110)"/> + <wire from="(170,600)" to="(270,600)"/> + <wire from="(220,270)" to="(250,270)"/> + <wire from="(870,290)" to="(890,290)"/> + <wire from="(910,650)" to="(930,650)"/> + <wire from="(1070,60)" to="(1070,120)"/> + <wire from="(440,510)" to="(450,510)"/> + <wire from="(400,150)" to="(410,150)"/> + <wire from="(480,870)" to="(490,870)"/> + <wire from="(610,240)" to="(610,300)"/> + <wire from="(690,960)" to="(690,1020)"/> + <wire from="(650,600)" to="(650,660)"/> + <wire from="(180,320)" to="(250,320)"/> + <wire from="(200,660)" to="(270,660)"/> + <wire from="(980,1050)" to="(990,1050)"/> + <wire from="(800,870)" to="(810,870)"/> + <wire from="(370,960)" to="(370,1020)"/> + <wire from="(720,150)" to="(730,150)"/> + <wire from="(760,510)" to="(770,510)"/> + <wire from="(520,1230)" to="(530,1230)"/> + <wire from="(840,790)" to="(840,840)"/> + <wire from="(880,1150)" to="(880,1200)"/> + <wire from="(750,830)" to="(750,840)"/> + <wire from="(930,690)" to="(930,700)"/> + <wire from="(890,330)" to="(890,340)"/> + <wire from="(190,1300)" to="(250,1300)"/> + <wire from="(210,1000)" to="(270,1000)"/> + <wire from="(230,710)" to="(280,710)"/> + <wire from="(300,1660)" to="(300,1680)"/> + <wire from="(200,1110)" to="(200,1200)"/> + <wire from="(180,770)" to="(180,860)"/> + <wire from="(220,810)" to="(220,900)"/> + <wire from="(230,80)" to="(270,80)"/> + <wire from="(690,1600)" to="(690,1680)"/> + <wire from="(190,1550)" to="(190,1580)"/> + <wire from="(240,1150)" to="(240,1240)"/> + <wire from="(470,1280)" to="(510,1280)"/> + <wire from="(830,380)" to="(850,380)"/> + <wire from="(870,740)" to="(890,740)"/> + <wire from="(910,1100)" to="(930,1100)"/> + <wire from="(930,290)" to="(1080,290)"/> + <wire from="(330,200)" to="(350,200)"/> + <wire from="(1070,510)" to="(1070,570)"/> + <wire from="(400,600)" to="(410,600)"/> + <wire from="(440,960)" to="(450,960)"/> + <wire from="(360,240)" to="(370,240)"/> + <wire from="(1030,150)" to="(1030,210)"/> + <wire from="(570,330)" to="(570,390)"/> + <wire from="(610,690)" to="(610,750)"/> + <wire from="(650,1050)" to="(650,1110)"/> + <wire from="(240,1600)" to="(240,1650)"/> + <wire from="(920,160)" to="(930,160)"/> + <wire from="(680,240)" to="(690,240)"/> + <wire from="(760,960)" to="(770,960)"/> + <wire from="(720,600)" to="(730,600)"/> + <wire from="(930,1190)" to="(1010,1190)"/> + <wire from="(840,1240)" to="(840,1290)"/> + <wire from="(930,1140)" to="(930,1150)"/> + <wire from="(850,420)" to="(850,430)"/> + <wire from="(890,780)" to="(890,790)"/> + <wire from="(230,1160)" to="(280,1160)"/> + <wire from="(350,380)" to="(470,380)"/> + <wire from="(430,1280)" to="(430,1290)"/> + <wire from="(180,1220)" to="(180,1310)"/> + <wire from="(220,1260)" to="(220,1350)"/> + <wire from="(210,190)" to="(250,190)"/> + <wire from="(830,830)" to="(850,830)"/> + <wire from="(870,1190)" to="(890,1190)"/> + <wire from="(90,80)" to="(120,80)"/> + <wire from="(930,740)" to="(1080,740)"/> + <wire from="(1070,960)" to="(1070,1020)"/> + <wire from="(400,1050)" to="(410,1050)"/> + <wire from="(810,60)" to="(810,120)"/> + <wire from="(170,240)" to="(250,240)"/> + <wire from="(1030,600)" to="(1030,660)"/> + <wire from="(990,240)" to="(990,300)"/> + <wire from="(360,690)" to="(370,690)"/> + <wire from="(530,420)" to="(530,480)"/> + <wire from="(570,780)" to="(570,840)"/> + <wire from="(610,1140)" to="(610,1200)"/> + <wire from="(920,610)" to="(930,610)"/> + <wire from="(250,1690)" to="(700,1690)"/> + <wire from="(490,60)" to="(490,120)"/> + <wire from="(880,250)" to="(890,250)"/> + <wire from="(680,690)" to="(690,690)"/> + <wire from="(720,1050)" to="(730,1050)"/> + <wire from="(640,330)" to="(650,330)"/> + <wire from="(670,1010)" to="(670,1020)"/> + <wire from="(180,70)" to="(180,140)"/> + <wire from="(890,1230)" to="(890,1240)"/> + <wire from="(520,1580)" to="(570,1580)"/> + <wire from="(850,870)" to="(850,880)"/> + <wire from="(200,300)" to="(250,300)"/> + <wire from="(870,1400)" to="(870,1490)"/> + <wire from="(190,400)" to="(190,490)"/> + <wire from="(230,440)" to="(230,530)"/> + <wire from="(910,290)" to="(910,310)"/> + <wire from="(210,100)" to="(210,190)"/> + <wire from="(190,1580)" to="(230,1580)"/> + <wire from="(830,1280)" to="(850,1280)"/> + <wire from="(890,380)" to="(910,380)"/> + <wire from="(600,1320)" to="(600,1490)"/> + <wire from="(1060,240)" to="(1070,240)"/> + <wire from="(240,1650)" to="(260,1650)"/> + <wire from="(1030,1050)" to="(1030,1110)"/> + <wire from="(810,510)" to="(810,570)"/> + <wire from="(360,1140)" to="(370,1140)"/> + <wire from="(990,690)" to="(990,750)"/> + <wire from="(530,870)" to="(530,930)"/> + <wire from="(570,1230)" to="(570,1290)"/> + <wire from="(770,150)" to="(770,210)"/> + <wire from="(920,1060)" to="(930,1060)"/> + <wire from="(450,150)" to="(450,210)"/> + <wire from="(840,340)" to="(850,340)"/> + <wire from="(880,700)" to="(890,700)"/> + <wire from="(490,510)" to="(490,570)"/> + <wire from="(680,1140)" to="(690,1140)"/> + <wire from="(640,780)" to="(650,780)"/> + <wire from="(560,60)" to="(570,60)"/> + <wire from="(600,420)" to="(610,420)"/> + <wire from="(550,380)" to="(550,390)"/> + <wire from="(330,1010)" to="(510,1010)"/> + <wire from="(830,1360)" to="(830,1490)"/> + <wire from="(170,510)" to="(170,600)"/> + <wire from="(190,850)" to="(190,940)"/> + <wire from="(210,550)" to="(210,640)"/> + <wire from="(230,890)" to="(230,980)"/> + <wire from="(870,380)" to="(870,400)"/> + <wire from="(910,740)" to="(910,760)"/> + <wire from="(240,160)" to="(280,160)"/> + <wire from="(510,110)" to="(550,110)"/> + <wire from="(550,110)" to="(830,110)"/> + <wire from="(850,470)" to="(870,470)"/> + <wire from="(890,830)" to="(910,830)"/> + <wire from="(180,500)" to="(270,500)"/> + <wire from="(1060,690)" to="(1070,690)"/> + <wire from="(810,960)" to="(810,1020)"/> + <wire from="(330,740)" to="(790,740)"/> + <wire from="(520,150)" to="(530,150)"/> + <wire from="(990,1140)" to="(990,1200)"/> + <wire from="(770,600)" to="(770,660)"/> + <wire from="(730,240)" to="(730,300)"/> + <wire from="(1020,330)" to="(1030,330)"/> + <wire from="(410,240)" to="(410,300)"/> + <wire from="(840,790)" to="(850,790)"/> + <wire from="(490,960)" to="(490,1020)"/> + <wire from="(450,600)" to="(450,660)"/> + <wire from="(880,1150)" to="(890,1150)"/> + <wire from="(670,1580)" to="(680,1580)"/> + <wire from="(640,1230)" to="(650,1230)"/> + <wire from="(920,430)" to="(920,480)"/> + <wire from="(560,510)" to="(570,510)"/> + <wire from="(600,870)" to="(610,870)"/> + <wire from="(880,70)" to="(880,120)"/> + <wire from="(230,1540)" to="(290,1540)"/> + <wire from="(190,220)" to="(250,220)"/> + <wire from="(400,1320)" to="(400,1330)"/> + <wire from="(220,900)" to="(270,900)"/> + <wire from="(200,1200)" to="(250,1200)"/> + <wire from="(470,110)" to="(470,120)"/> + <wire from="(830,470)" to="(830,490)"/> + <wire from="(870,830)" to="(870,850)"/> + <wire from="(190,1300)" to="(190,1390)"/> + <wire from="(170,960)" to="(170,1050)"/> + <wire from="(210,1000)" to="(210,1090)"/> + <wire from="(240,70)" to="(240,160)"/> + <wire from="(910,1190)" to="(910,1210)"/> + <wire from="(240,610)" to="(280,610)"/> + <wire from="(470,200)" to="(510,200)"/> + <wire from="(850,920)" to="(870,920)"/> + <wire from="(890,1280)" to="(910,1280)"/> + <wire from="(180,950)" to="(270,950)"/> + <wire from="(1060,1140)" to="(1070,1140)"/> + <wire from="(980,1320)" to="(980,1480)"/> + <wire from="(770,1050)" to="(770,1110)"/> + <wire from="(480,240)" to="(490,240)"/> + <wire from="(690,330)" to="(690,390)"/> + <wire from="(730,690)" to="(730,750)"/> + <wire from="(1020,780)" to="(1030,780)"/> + <wire from="(370,330)" to="(370,390)"/> + <wire from="(980,420)" to="(990,420)"/> + <wire from="(800,240)" to="(810,240)"/> + <wire from="(410,690)" to="(410,750)"/> + <wire from="(450,1050)" to="(450,1110)"/> + <wire from="(840,1240)" to="(850,1240)"/> + <wire from="(920,880)" to="(920,930)"/> + <wire from="(520,600)" to="(530,600)"/> + <wire from="(560,960)" to="(570,960)"/> + <wire from="(840,160)" to="(840,210)"/> + <wire from="(880,520)" to="(880,570)"/> + <wire from="(930,60)" to="(930,70)"/> + <wire from="(210,370)" to="(270,370)"/> + <wire from="(430,200)" to="(430,210)"/> + <wire from="(170,1050)" to="(280,1050)"/> + <wire from="(930,470)" to="(970,470)"/> + <wire from="(180,140)" to="(180,230)"/> + <wire from="(830,920)" to="(830,940)"/> + <wire from="(200,480)" to="(200,570)"/> + <wire from="(240,520)" to="(240,610)"/> + <wire from="(220,180)" to="(220,270)"/> + <wire from="(870,1280)" to="(870,1300)"/> + <wire from="(240,1060)" to="(280,1060)"/> + <wire from="(870,110)" to="(890,110)"/> + <wire from="(220,90)" to="(250,90)"/> + <wire from="(910,470)" to="(930,470)"/> + <wire from="(1020,1230)" to="(1030,1230)"/> + <wire from="(850,1320)" to="(850,1490)"/> + <wire from="(720,1560)" to="(740,1560)"/> + <wire from="(440,330)" to="(450,330)"/> + <wire from="(480,690)" to="(490,690)"/> + <wire from="(610,60)" to="(610,120)"/> + <wire from="(690,780)" to="(690,840)"/> + <wire from="(650,420)" to="(650,480)"/> + <wire from="(730,1140)" to="(730,1200)"/> + <wire from="(180,140)" to="(250,140)"/> + <wire from="(980,870)" to="(990,870)"/> + <wire from="(370,780)" to="(370,840)"/> + <wire from="(410,1140)" to="(410,1200)"/> + <wire from="(800,690)" to="(810,690)"/> + <wire from="(760,330)" to="(770,330)"/> + <wire from="(520,1050)" to="(530,1050)"/> + <wire from="(840,610)" to="(840,660)"/> + <wire from="(880,970)" to="(880,1020)"/> + <wire from="(930,510)" to="(930,520)"/> + <wire from="(890,150)" to="(890,160)"/> + <wire from="(190,1120)" to="(250,1120)"/> + <wire from="(210,820)" to="(270,820)"/> + <wire from="(230,530)" to="(280,530)"/> + <wire from="(420,1340)" to="(420,1490)"/> + <wire from="(200,930)" to="(200,1020)"/> + <wire from="(180,590)" to="(180,680)"/> + <wire from="(220,630)" to="(220,720)"/> + <wire from="(930,1470)" to="(930,1490)"/> + <wire from="(300,1480)" to="(300,1510)"/> + <wire from="(240,970)" to="(240,1060)"/> + <wire from="(830,200)" to="(850,200)"/> + <wire from="(220,540)" to="(250,540)"/> + <wire from="(870,560)" to="(890,560)"/> + <wire from="(910,920)" to="(930,920)"/> + <wire from="(930,110)" to="(1080,110)"/> + <wire from="(1070,330)" to="(1070,390)"/> + <wire from="(400,420)" to="(410,420)"/> + <wire from="(480,1140)" to="(490,1140)"/> + <wire from="(440,780)" to="(450,780)"/> + <wire from="(360,60)" to="(370,60)"/> + <wire from="(570,150)" to="(570,210)"/> + <wire from="(610,510)" to="(610,570)"/> + <wire from="(650,870)" to="(650,930)"/> + <wire from="(690,1230)" to="(690,1290)"/> + <wire from="(800,1140)" to="(810,1140)"/> + <wire from="(370,1230)" to="(370,1290)"/> + <wire from="(750,830)" to="(830,830)"/> + <wire from="(680,60)" to="(690,60)"/> + <wire from="(760,780)" to="(770,780)"/> + <wire from="(720,420)" to="(730,420)"/> + <wire from="(840,1060)" to="(840,1110)"/> + <wire from="(300,1680)" to="(690,1680)"/> + <wire from="(330,110)" to="(390,110)"/> + <wire from="(930,960)" to="(930,970)"/> + <wire from="(930,1100)" to="(1050,1100)"/> + <wire from="(850,240)" to="(850,250)"/> + <wire from="(890,600)" to="(890,610)"/> + <wire from="(510,1190)" to="(830,1190)"/> + <wire from="(250,280)" to="(250,290)"/> + <wire from="(230,980)" to="(280,980)"/> + <wire from="(350,380)" to="(350,390)"/> + <wire from="(170,70)" to="(170,150)"/> + <wire from="(1100,1510)" to="(1100,1640)"/> + <wire from="(220,1080)" to="(220,1170)"/> + <wire from="(180,1040)" to="(180,1130)"/> + <wire from="(830,650)" to="(850,650)"/> + <wire from="(870,1010)" to="(890,1010)"/> + <wire from="(930,560)" to="(1080,560)"/> + <wire from="(1070,780)" to="(1070,840)"/> + <wire from="(400,870)" to="(410,870)"/> + <wire from="(440,1230)" to="(450,1230)"/> + <wire from="(330,290)" to="(470,290)"/> + <wire from="(1030,420)" to="(1030,480)"/> + <wire from="(360,510)" to="(370,510)"/> + <wire from="(990,60)" to="(990,120)"/> + <wire from="(530,240)" to="(530,300)"/> + <wire from="(570,600)" to="(570,660)"/> + <wire from="(610,960)" to="(610,1020)"/> + <wire from="(920,430)" to="(930,430)"/> + <wire from="(760,1230)" to="(770,1230)"/> + <wire from="(880,70)" to="(890,70)"/> + <wire from="(720,1320)" to="(720,1560)"/> + <wire from="(680,510)" to="(690,510)"/> + <wire from="(720,870)" to="(730,870)"/> + <wire from="(640,150)" to="(650,150)"/> + <wire from="(630,470)" to="(630,480)"/> + <wire from="(1190,1670)" to="(1190,1690)"/> + <wire from="(890,1050)" to="(890,1060)"/> + <wire from="(850,690)" to="(850,700)"/> + <wire from="(230,70)" to="(230,80)"/> + <wire from="(200,120)" to="(250,120)"/> + <wire from="(190,220)" to="(190,310)"/> + <wire from="(910,110)" to="(910,130)"/> + <wire from="(230,260)" to="(230,350)"/> + <wire from="(210,460)" to="(250,460)"/> + <wire from="(390,1280)" to="(430,1280)"/> + <wire from="(890,200)" to="(910,200)"/> + <wire from="(830,1100)" to="(850,1100)"/> + <wire from="(920,1400)" to="(920,1440)"/> + <wire from="(1060,60)" to="(1070,60)"/> + <wire from="(270,1380)" to="(480,1380)"/> + <wire from="(1070,1230)" to="(1070,1290)"/> + <wire from="(1030,870)" to="(1030,930)"/> + <wire from="(810,330)" to="(810,390)"/> + <wire from="(630,470)" to="(830,470)"/> + <wire from="(280,1520)" to="(290,1520)"/> + <wire from="(360,960)" to="(370,960)"/> + <wire from="(990,510)" to="(990,570)"/> + <wire from="(530,690)" to="(530,750)"/> + <wire from="(570,1050)" to="(570,1110)"/> + <wire from="(920,880)" to="(930,880)"/> + <wire from="(330,560)" to="(590,560)"/> + <wire from="(840,160)" to="(850,160)"/> + <wire from="(490,330)" to="(490,390)"/> + <wire from="(880,520)" to="(890,520)"/> + <wire from="(680,960)" to="(690,960)"/> + <wire from="(640,600)" to="(650,600)"/> + <wire from="(600,240)" to="(610,240)"/> + <wire from="(550,200)" to="(550,210)"/> + <wire from="(630,920)" to="(630,930)"/> + <wire from="(590,560)" to="(590,570)"/> + <wire from="(850,1140)" to="(850,1150)"/> + <wire from="(200,570)" to="(250,570)"/> + <wire from="(350,1280)" to="(350,1290)"/> + <wire from="(330,1580)" to="(330,1600)"/> + <wire from="(630,1010)" to="(670,1010)"/> + <wire from="(170,330)" to="(170,420)"/> + <wire from="(190,670)" to="(190,760)"/> + <wire from="(870,200)" to="(870,220)"/> + <wire from="(230,710)" to="(230,800)"/> + <wire from="(210,370)" to="(210,460)"/> + <wire from="(910,560)" to="(910,580)"/> + <wire from="(890,650)" to="(910,650)"/> + <wire from="(850,290)" to="(870,290)"/> + <wire from="(1060,510)" to="(1070,510)"/> + <wire from="(100,70)" to="(100,110)"/> + <wire from="(610,1320)" to="(640,1320)"/> + <wire from="(520,1320)" to="(520,1420)"/> + <wire from="(930,1450)" to="(1090,1450)"/> + <wire from="(810,780)" to="(810,840)"/> + <wire from="(630,920)" to="(830,920)"/> + <wire from="(990,960)" to="(990,1020)"/> + <wire from="(290,1660)" to="(300,1660)"/> + <wire from="(530,1140)" to="(530,1200)"/> + <wire from="(730,60)" to="(730,120)"/> + <wire from="(770,420)" to="(770,480)"/> + <wire from="(1020,150)" to="(1030,150)"/> + <wire from="(410,60)" to="(410,120)"/> + <wire from="(450,420)" to="(450,480)"/> + <wire from="(880,970)" to="(890,970)"/> + <wire from="(840,610)" to="(850,610)"/> + <wire from="(490,780)" to="(490,840)"/> + <wire from="(920,250)" to="(920,300)"/> + <wire from="(640,1050)" to="(650,1050)"/> + <wire from="(560,330)" to="(570,330)"/> + <wire from="(600,690)" to="(610,690)"/> + <wire from="(220,720)" to="(270,720)"/> + <wire from="(200,1020)" to="(250,1020)"/> + <wire from="(510,290)" to="(510,300)"/> + <wire from="(170,780)" to="(170,870)"/> + <wire from="(830,290)" to="(830,310)"/> + <wire from="(870,650)" to="(870,670)"/> + <wire from="(210,820)" to="(210,910)"/> + <wire from="(190,1120)" to="(190,1210)"/> + <wire from="(910,1010)" to="(910,1030)"/> + <wire from="(240,430)" to="(280,430)"/> + <wire from="(220,1370)" to="(260,1370)"/> + <wire from="(230,1160)" to="(230,1250)"/> + <wire from="(510,380)" to="(550,380)"/> + <wire from="(550,380)" to="(830,380)"/> + <wire from="(850,740)" to="(870,740)"/> + <wire from="(890,1100)" to="(910,1100)"/> + <wire from="(180,770)" to="(270,770)"/> + <wire from="(1060,960)" to="(1070,960)"/> + <wire from="(810,1230)" to="(810,1290)"/> + <wire from="(770,870)" to="(770,930)"/> + <wire from="(480,60)" to="(490,60)"/> + <wire from="(490,1230)" to="(490,1290)"/> + <wire from="(690,150)" to="(690,210)"/> + <wire from="(730,510)" to="(730,570)"/> + <wire from="(930,1470)" to="(940,1470)"/> + <wire from="(980,240)" to="(990,240)"/> + <wire from="(1020,600)" to="(1030,600)"/> + <wire from="(370,150)" to="(370,210)"/> + <wire from="(800,60)" to="(810,60)"/> + <wire from="(410,510)" to="(410,570)"/> + <wire from="(450,870)" to="(450,930)"/> + <wire from="(840,1060)" to="(850,1060)"/> + <wire from="(920,700)" to="(920,750)"/> + <wire from="(560,780)" to="(570,780)"/> + <wire from="(520,420)" to="(530,420)"/> + <wire from="(880,340)" to="(880,390)"/> + <wire from="(600,1140)" to="(610,1140)"/> + <wire from="(960,1510)" to="(960,1520)"/> + <wire from="(190,490)" to="(250,490)"/> + <wire from="(470,380)" to="(470,390)"/> + <wire from="(170,870)" to="(280,870)"/> + <wire from="(210,1270)" to="(210,1350)"/> + <wire from="(830,740)" to="(830,760)"/> + <wire from="(170,1230)" to="(170,1320)"/> + <wire from="(200,300)" to="(200,390)"/> + <wire from="(870,1100)" to="(870,1120)"/> + <wire from="(240,340)" to="(240,430)"/> + <wire from="(240,880)" to="(280,880)"/> + <wire from="(430,110)" to="(470,110)"/> + <wire from="(910,290)" to="(930,290)"/> + <wire from="(850,1190)" to="(870,1190)"/> + <wire from="(240,250)" to="(270,250)"/> + <wire from="(180,1220)" to="(270,1220)"/> + <wire from="(440,150)" to="(450,150)"/> + <wire from="(480,510)" to="(490,510)"/> + <wire from="(200,930)" to="(280,930)"/> + <wire from="(650,240)" to="(650,300)"/> + <wire from="(690,600)" to="(690,660)"/> + <wire from="(730,960)" to="(730,1020)"/> + <wire from="(980,690)" to="(990,690)"/> + <wire from="(370,600)" to="(370,660)"/> + <wire from="(1020,1050)" to="(1030,1050)"/> + <wire from="(800,510)" to="(810,510)"/> + <wire from="(410,960)" to="(410,1020)"/> + <wire from="(920,1150)" to="(920,1200)"/> + <wire from="(760,150)" to="(770,150)"/> + <wire from="(520,870)" to="(530,870)"/> + <wire from="(840,430)" to="(840,480)"/> + <wire from="(880,790)" to="(880,840)"/> + <wire from="(560,1230)" to="(570,1230)"/> + <wire from="(510,1010)" to="(630,1010)"/> + <wire from="(510,1190)" to="(510,1200)"/> + <wire from="(930,330)" to="(930,340)"/> + <wire from="(210,640)" to="(270,640)"/> + <wire from="(230,350)" to="(280,350)"/> + <wire from="(390,110)" to="(390,120)"/> + <wire from="(1090,1450)" to="(1090,1480)"/> + <wire from="(170,1320)" to="(280,1320)"/> + <wire from="(180,410)" to="(180,500)"/> + <wire from="(220,450)" to="(220,540)"/> + <wire from="(200,750)" to="(200,840)"/> + <wire from="(830,1190)" to="(830,1210)"/> + <wire from="(240,790)" to="(240,880)"/> + <wire from="(870,380)" to="(890,380)"/> + <wire from="(910,740)" to="(930,740)"/> + <wire from="(510,1100)" to="(670,1100)"/> + <wire from="(1070,150)" to="(1070,210)"/> + <wire from="(400,240)" to="(410,240)"/> + <wire from="(440,600)" to="(450,600)"/> + <wire from="(480,960)" to="(490,960)"/> + <wire from="(610,330)" to="(610,390)"/> + <wire from="(650,690)" to="(650,750)"/> + <wire from="(690,1050)" to="(690,1110)"/> + <wire from="(180,410)" to="(250,410)"/> + <wire from="(980,1140)" to="(990,1140)"/> + <wire from="(370,1050)" to="(370,1110)"/> + <wire from="(800,960)" to="(810,960)"/> + <wire from="(720,240)" to="(730,240)"/> + <wire from="(760,600)" to="(770,600)"/> + <wire from="(880,1240)" to="(880,1290)"/> + <wire from="(840,880)" to="(840,930)"/> + <wire from="(500,1340)" to="(1130,1340)"/> + <wire from="(930,780)" to="(930,790)"/> + <wire from="(850,60)" to="(850,70)"/> + <wire from="(890,420)" to="(890,430)"/> + <wire from="(470,1280)" to="(470,1290)"/> + <wire from="(230,800)" to="(280,800)"/> + <wire from="(350,200)" to="(350,210)"/> + <wire from="(220,70)" to="(220,90)"/> + <wire from="(1180,1670)" to="(1180,1680)"/> + <wire from="(180,860)" to="(180,950)"/> + <wire from="(200,1200)" to="(200,1290)"/> + <wire from="(220,900)" to="(220,990)"/> + <wire from="(230,170)" to="(270,170)"/> + <wire from="(240,1240)" to="(240,1330)"/> + <wire from="(830,470)" to="(850,470)"/> + <wire from="(870,830)" to="(890,830)"/> + <wire from="(910,1190)" to="(930,1190)"/> + <wire from="(930,380)" to="(1080,380)"/> + <wire from="(190,850)" to="(280,850)"/> + <wire from="(940,1430)" to="(940,1470)"/> + <wire from="(1070,600)" to="(1070,660)"/> + <wire from="(930,1010)" to="(1090,1010)"/> + <wire from="(400,690)" to="(410,690)"/> + <wire from="(440,1050)" to="(450,1050)"/> + <wire from="(1030,240)" to="(1030,300)"/> + <wire from="(360,330)" to="(370,330)"/> + <wire from="(570,420)" to="(570,480)"/> + <wire from="(530,60)" to="(530,120)"/> + <wire from="(610,780)" to="(610,840)"/> + <wire from="(650,1140)" to="(650,1200)"/> + <wire from="(920,250)" to="(930,250)"/> + <wire from="(760,1050)" to="(770,1050)"/> + <wire from="(680,330)" to="(690,330)"/> + <wire from="(720,690)" to="(730,690)"/> + <wire from="(260,1580)" to="(330,1580)"/> + <wire from="(930,1230)" to="(930,1240)"/> + <wire from="(890,870)" to="(890,880)"/> + <wire from="(850,510)" to="(850,520)"/> + <wire from="(230,1250)" to="(280,1250)"/> + <wire from="(90,70)" to="(90,80)"/> + <wire from="(1050,1100)" to="(1110,1100)"/> + <wire from="(230,80)" to="(230,170)"/> + <wire from="(210,280)" to="(250,280)"/> + <wire from="(870,1280)" to="(890,1280)"/> + <wire from="(220,1260)" to="(250,1260)"/> + <wire from="(830,920)" to="(850,920)"/> + <wire from="(930,830)" to="(1080,830)"/> + <wire from="(140,70)" to="(140,1710)"/> + <wire from="(1070,1050)" to="(1070,1110)"/> + <wire from="(810,150)" to="(810,210)"/> + <wire from="(400,1140)" to="(410,1140)"/> + <wire from="(170,330)" to="(250,330)"/> + <wire from="(190,670)" to="(270,670)"/> + <wire from="(990,330)" to="(990,390)"/> + <wire from="(360,780)" to="(370,780)"/> + <wire from="(1030,690)" to="(1030,750)"/> + <wire from="(530,510)" to="(530,570)"/> + <wire from="(610,1230)" to="(610,1290)"/> + <wire from="(570,870)" to="(570,930)"/> + <wire from="(920,700)" to="(930,700)"/> + <wire from="(880,340)" to="(890,340)"/> + <wire from="(490,150)" to="(490,210)"/> + <wire from="(180,1310)" to="(180,1430)"/> + <wire from="(680,780)" to="(690,780)"/> + <wire from="(720,1140)" to="(730,1140)"/> + <wire from="(640,420)" to="(650,420)"/> + <wire from="(600,60)" to="(610,60)"/> + <wire from="(670,1100)" to="(670,1110)"/> + <wire from="(850,960)" to="(850,970)"/> + <wire from="(440,1590)" to="(490,1590)"/> + <wire from="(200,390)" to="(250,390)"/> + <wire from="(590,470)" to="(630,470)"/> + <wire from="(140,1710)" to="(1210,1710)"/> + <wire from="(190,1580)" to="(190,1600)"/> + <wire from="(170,150)" to="(170,240)"/> + <wire from="(230,530)" to="(230,620)"/> + <wire from="(910,380)" to="(910,400)"/> + <wire from="(190,490)" to="(190,580)"/> + <wire from="(210,190)" to="(210,280)"/> + <wire from="(300,1480)" to="(980,1480)"/> + <wire from="(850,110)" to="(870,110)"/> + <wire from="(890,470)" to="(910,470)"/> + <wire from="(930,1280)" to="(1080,1280)"/> + <wire from="(1060,330)" to="(1070,330)"/> + <wire from="(670,1010)" to="(830,1010)"/> + <wire from="(1030,1140)" to="(1030,1200)"/> + <wire from="(360,1230)" to="(370,1230)"/> + <wire from="(810,600)" to="(810,660)"/> + <wire from="(990,780)" to="(990,840)"/> + <wire from="(530,960)" to="(530,1020)"/> + <wire from="(770,240)" to="(770,300)"/> + <wire from="(920,1150)" to="(930,1150)"/> + <wire from="(330,830)" to="(590,830)"/> + <wire from="(840,430)" to="(850,430)"/> + <wire from="(450,240)" to="(450,300)"/> + <wire from="(490,600)" to="(490,660)"/> + <wire from="(880,790)" to="(890,790)"/> + <wire from="(640,870)" to="(650,870)"/> + <wire from="(680,1230)" to="(690,1230)"/> + <wire from="(560,150)" to="(570,150)"/> + <wire from="(920,70)" to="(920,120)"/> + <wire from="(600,510)" to="(610,510)"/> + <wire from="(330,650)" to="(710,650)"/> + <wire from="(270,1420)" to="(520,1420)"/> + <wire from="(590,830)" to="(590,840)"/> + <wire from="(220,1170)" to="(280,1170)"/> + <wire from="(330,1100)" to="(510,1100)"/> + <wire from="(120,40)" to="(120,50)"/> + <wire from="(510,110)" to="(510,120)"/> + <wire from="(590,920)" to="(630,920)"/> + <wire from="(830,110)" to="(830,130)"/> + <wire from="(170,600)" to="(170,690)"/> + <wire from="(870,470)" to="(870,490)"/> + <wire from="(190,940)" to="(190,1030)"/> + <wire from="(230,980)" to="(230,1070)"/> + <wire from="(210,640)" to="(210,730)"/> + <wire from="(910,830)" to="(910,850)"/> + <wire from="(910,1470)" to="(910,1490)"/> + <wire from="(510,200)" to="(550,200)"/> + <wire from="(550,200)" to="(830,200)"/> + <wire from="(890,920)" to="(910,920)"/> + <wire from="(850,560)" to="(870,560)"/> + <wire from="(1060,780)" to="(1070,780)"/> + <wire from="(80,110)" to="(100,110)"/> + <wire from="(330,1530)" to="(330,1570)"/> + <wire from="(810,1050)" to="(810,1110)"/> + <wire from="(520,240)" to="(530,240)"/> + <wire from="(990,1230)" to="(990,1290)"/> + <wire from="(730,330)" to="(730,390)"/> + <wire from="(770,690)" to="(770,750)"/> + <wire from="(980,60)" to="(990,60)"/> + <wire from="(1020,420)" to="(1030,420)"/> + <wire from="(410,330)" to="(410,390)"/> + <wire from="(450,690)" to="(450,750)"/> + <wire from="(880,1240)" to="(890,1240)"/> + <wire from="(840,880)" to="(850,880)"/> + <wire from="(490,1050)" to="(490,1110)"/> + <wire from="(920,520)" to="(920,570)"/> + <wire from="(560,600)" to="(570,600)"/> + <wire from="(880,160)" to="(880,210)"/> + <wire from="(600,960)" to="(610,960)"/> + <wire from="(190,310)" to="(250,310)"/> + <wire from="(470,200)" to="(470,210)"/> + <wire from="(200,1290)" to="(250,1290)"/> + <wire from="(170,690)" to="(280,690)"/> + <wire from="(830,560)" to="(830,580)"/> + <wire from="(170,1050)" to="(170,1140)"/> + <wire from="(200,120)" to="(200,210)"/> + <wire from="(210,1090)" to="(210,1180)"/> + <wire from="(240,160)" to="(240,250)"/> + <wire from="(870,920)" to="(870,940)"/> + <wire from="(1050,1100)" to="(1050,1110)"/> + <wire from="(910,1280)" to="(910,1300)"/> + <wire from="(240,700)" to="(280,700)"/> + <wire from="(570,1580)" to="(570,1600)"/> + <wire from="(470,290)" to="(510,290)"/> + <wire from="(910,110)" to="(930,110)"/> + <wire from="(850,1010)" to="(870,1010)"/> + <wire from="(240,70)" to="(270,70)"/> + <wire from="(890,1320)" to="(890,1490)"/> + <wire from="(1160,1650)" to="(1170,1650)"/> + <wire from="(180,1040)" to="(270,1040)"/> + <wire from="(330,1570)" to="(490,1570)"/> + <wire from="(1060,1230)" to="(1070,1230)"/> + <wire from="(770,1140)" to="(770,1200)"/> + <wire from="(480,330)" to="(490,330)"/> + <wire from="(200,750)" to="(280,750)"/> + <wire from="(650,60)" to="(650,120)"/> + <wire from="(690,420)" to="(690,480)"/> + <wire from="(730,780)" to="(730,840)"/> + <wire from="(370,420)" to="(370,480)"/> + <wire from="(980,510)" to="(990,510)"/> + <wire from="(210,1090)" to="(280,1090)"/> + <wire from="(1020,870)" to="(1030,870)"/> + <wire from="(800,330)" to="(810,330)"/> + <wire from="(410,780)" to="(410,840)"/> + <wire from="(450,1140)" to="(450,1200)"/> + <wire from="(920,970)" to="(920,1020)"/> + <wire from="(560,1050)" to="(570,1050)"/> + <wire from="(840,250)" to="(840,300)"/> + <wire from="(520,690)" to="(530,690)"/> + <wire from="(880,610)" to="(880,660)"/> + <wire from="(510,1010)" to="(510,1020)"/> + <wire from="(930,150)" to="(930,160)"/> + <wire from="(1010,1190)" to="(1010,1200)"/> + <wire from="(200,1410)" to="(260,1410)"/> + <wire from="(170,1140)" to="(280,1140)"/> + <wire from="(790,740)" to="(830,740)"/> + <wire from="(180,230)" to="(180,320)"/> + <wire from="(830,1010)" to="(830,1030)"/> + <wire from="(200,570)" to="(200,660)"/> + <wire from="(220,270)" to="(220,360)"/> + <wire from="(240,610)" to="(240,700)"/> + <wire from="(240,1150)" to="(280,1150)"/> + <wire from="(210,70)" to="(210,100)"/> + <wire from="(170,510)" to="(270,510)"/> + <wire from="(870,200)" to="(890,200)"/> + <wire from="(220,180)" to="(250,180)"/> + <wire from="(910,560)" to="(930,560)"/> + <wire from="(440,1320)" to="(440,1490)"/> + <wire from="(400,60)" to="(410,60)"/> + <wire from="(440,420)" to="(450,420)"/> + <wire from="(480,780)" to="(490,780)"/> + <wire from="(610,150)" to="(610,210)"/> + <wire from="(210,1470)" to="(220,1470)"/> + <wire from="(650,510)" to="(650,570)"/> + <wire from="(690,870)" to="(690,930)"/> + <wire from="(730,1230)" to="(730,1290)"/> + <wire from="(180,230)" to="(250,230)"/> + <wire from="(980,960)" to="(990,960)"/> + <wire from="(800,780)" to="(810,780)"/> + <wire from="(370,870)" to="(370,930)"/> + <wire from="(410,1230)" to="(410,1290)"/> + <wire from="(720,60)" to="(730,60)"/> + <wire from="(760,420)" to="(770,420)"/> + <wire from="(520,1140)" to="(530,1140)"/> + <wire from="(880,1060)" to="(880,1110)"/> + <wire from="(840,700)" to="(840,750)"/> + <wire from="(760,1320)" to="(760,1520)"/> + <wire from="(930,600)" to="(930,610)"/> + <wire from="(210,910)" to="(270,910)"/> + <wire from="(890,240)" to="(890,250)"/> + <wire from="(190,1210)" to="(250,1210)"/> + <wire from="(230,620)" to="(280,620)"/> + <wire from="(1020,1320)" to="(1020,1640)"/> + <wire from="(180,680)" to="(180,770)"/> + <wire from="(200,1020)" to="(200,1110)"/> + <wire from="(220,720)" to="(220,810)"/> + <wire from="(220,1490)" to="(220,1520)"/> + <wire from="(970,470)" to="(1080,470)"/> + <wire from="(240,1060)" to="(240,1150)"/> + <wire from="(830,290)" to="(850,290)"/> + <wire from="(870,650)" to="(890,650)"/> + <wire from="(910,1010)" to="(930,1010)"/> + <wire from="(930,200)" to="(1080,200)"/> + <wire from="(1070,420)" to="(1070,480)"/> + <wire from="(400,510)" to="(410,510)"/> + <wire from="(440,870)" to="(450,870)"/> + <wire from="(480,1230)" to="(490,1230)"/> + <wire from="(1030,60)" to="(1030,120)"/> + <wire from="(360,150)" to="(370,150)"/> + <wire from="(570,240)" to="(570,300)"/> + <wire from="(610,600)" to="(610,660)"/> + <wire from="(1010,1190)" to="(1080,1190)"/> + <wire from="(350,200)" to="(430,200)"/> + <wire from="(650,960)" to="(650,1020)"/> + <wire from="(930,920)" to="(1130,920)"/> + <wire from="(800,1230)" to="(810,1230)"/> + <wire from="(920,70)" to="(930,70)"/> + <wire from="(680,150)" to="(690,150)"/> + <wire from="(720,510)" to="(730,510)"/> + <wire from="(760,870)" to="(770,870)"/> + <wire from="(840,1150)" to="(840,1200)"/> + <wire from="(710,650)" to="(830,650)"/> + <wire from="(930,1050)" to="(930,1060)"/> + <wire from="(890,690)" to="(890,700)"/> + <wire from="(850,330)" to="(850,340)"/> + <wire from="(510,1280)" to="(830,1280)"/> + <wire from="(230,1070)" to="(280,1070)"/> + <wire from="(430,1510)" to="(430,1520)"/> + <wire from="(180,1130)" to="(180,1220)"/> + <wire from="(220,1170)" to="(220,1260)"/> + <wire from="(210,100)" to="(250,100)"/> + <wire from="(690,1680)" to="(1180,1680)"/> + <wire from="(700,1690)" to="(1190,1690)"/> + <wire from="(430,1280)" to="(470,1280)"/> + <wire from="(830,740)" to="(850,740)"/> + <wire from="(870,1100)" to="(890,1100)"/> + <wire from="(290,1360)" to="(830,1360)"/> + <wire from="(930,650)" to="(1080,650)"/> + <wire from="(710,1580)" to="(730,1580)"/> + <wire from="(1070,870)" to="(1070,930)"/> + <wire from="(720,1660)" to="(1130,1660)"/> + <wire from="(1210,1650)" to="(1210,1710)"/> + <wire from="(400,960)" to="(410,960)"/> + <wire from="(170,150)" to="(250,150)"/> + <wire from="(990,150)" to="(990,210)"/> + <wire from="(1030,510)" to="(1030,570)"/> + <wire from="(360,600)" to="(370,600)"/> + <wire from="(1100,1640)" to="(1130,1640)"/> + <wire from="(570,690)" to="(570,750)"/> + <wire from="(530,330)" to="(530,390)"/> + <wire from="(610,1050)" to="(610,1110)"/> + <wire from="(120,40)" to="(130,40)"/> + <wire from="(920,520)" to="(930,520)"/> + <wire from="(880,160)" to="(890,160)"/> + <wire from="(680,600)" to="(690,600)"/> + <wire from="(720,960)" to="(730,960)"/> + <wire from="(640,240)" to="(650,240)"/> + <wire from="(630,560)" to="(630,570)"/> + <wire from="(890,1140)" to="(890,1150)"/> + <wire from="(850,780)" to="(850,790)"/> + <wire from="(390,1280)" to="(390,1290)"/> + <wire from="(200,210)" to="(250,210)"/> + <wire from="(190,310)" to="(190,400)"/> + <wire from="(910,200)" to="(910,220)"/> + <wire from="(230,350)" to="(230,440)"/> + <wire from="(210,550)" to="(250,550)"/> + <wire from="(180,590)" to="(280,590)"/> + <wire from="(890,290)" to="(910,290)"/> + <wire from="(830,1190)" to="(850,1190)"/> + <wire from="(1060,150)" to="(1070,150)"/> + <wire from="(1030,960)" to="(1030,1020)"/> + <wire from="(100,110)" to="(100,1690)"/> + <wire from="(810,420)" to="(810,480)"/> + <wire from="(630,560)" to="(830,560)"/> + <wire from="(360,1050)" to="(370,1050)"/> + <wire from="(990,600)" to="(990,660)"/> + <wire from="(530,780)" to="(530,840)"/> + <wire from="(570,1140)" to="(570,1200)"/> + <wire from="(1130,920)" to="(1130,1340)"/> + <wire from="(770,60)" to="(770,120)"/> + <wire from="(920,970)" to="(930,970)"/> + <wire from="(840,250)" to="(850,250)"/> + <wire from="(450,60)" to="(450,120)"/> + <wire from="(490,420)" to="(490,480)"/> + <wire from="(880,610)" to="(890,610)"/> + <wire from="(640,690)" to="(650,690)"/> + <wire from="(680,1050)" to="(690,1050)"/> + <wire from="(200,1410)" to="(200,1470)"/> + <wire from="(600,330)" to="(610,330)"/> + <wire from="(630,1010)" to="(630,1020)"/> + <wire from="(550,290)" to="(550,300)"/> + <wire from="(560,1320)" to="(560,1460)"/> + <wire from="(850,1230)" to="(850,1240)"/> + <wire from="(220,990)" to="(280,990)"/> + <wire from="(220,360)" to="(270,360)"/> + <wire from="(170,420)" to="(170,510)"/> + <wire from="(870,290)" to="(870,310)"/> + <wire from="(190,760)" to="(190,850)"/> + <wire from="(230,800)" to="(230,890)"/> + <wire from="(210,460)" to="(210,550)"/> + <wire from="(910,650)" to="(910,670)"/> + <wire from="(890,740)" to="(910,740)"/> + <wire from="(850,380)" to="(870,380)"/> + <wire from="(1060,600)" to="(1070,600)"/> + <wire from="(1090,1010)" to="(1090,1450)"/> + <wire from="(920,1400)" to="(950,1400)"/> + <wire from="(810,870)" to="(810,930)"/> + <wire from="(520,60)" to="(530,60)"/> + <wire from="(990,1050)" to="(990,1110)"/> + <wire from="(530,1230)" to="(530,1290)"/> + <wire from="(730,150)" to="(730,210)"/> + <wire from="(770,510)" to="(770,570)"/> + <wire from="(930,1430)" to="(940,1430)"/> + <wire from="(1020,240)" to="(1030,240)"/> + <wire from="(410,150)" to="(410,210)"/> + <wire from="(450,510)" to="(450,570)"/> + <wire from="(880,1060)" to="(890,1060)"/> + <wire from="(490,870)" to="(490,930)"/> + <wire from="(840,700)" to="(850,700)"/> + <wire from="(640,1140)" to="(650,1140)"/> + <wire from="(920,340)" to="(920,390)"/> + <wire from="(560,420)" to="(570,420)"/> + <wire from="(600,780)" to="(610,780)"/> + <wire from="(190,130)" to="(250,130)"/> + <wire from="(200,1110)" to="(250,1110)"/> + <wire from="(220,810)" to="(270,810)"/> + <wire from="(510,380)" to="(510,390)"/> + <wire from="(440,1590)" to="(440,1600)"/> + <wire from="(230,1250)" to="(230,1330)"/> + <wire from="(830,380)" to="(830,400)"/> + <wire from="(170,870)" to="(170,960)"/> + <wire from="(210,910)" to="(210,1000)"/> + <wire from="(870,740)" to="(870,760)"/> + <wire from="(190,1210)" to="(190,1300)"/> + <wire from="(270,1460)" to="(560,1460)"/> + <wire from="(910,1100)" to="(910,1120)"/> + <wire from="(240,520)" to="(280,520)"/> + <wire from="(470,110)" to="(510,110)"/> + <wire from="(850,830)" to="(870,830)"/> + <wire from="(890,1190)" to="(910,1190)"/> + <wire from="(400,1330)" to="(430,1330)"/> + <wire from="(180,860)" to="(270,860)"/> + <wire from="(1060,1050)" to="(1070,1050)"/> + <wire from="(770,960)" to="(770,1020)"/> + <wire from="(200,70)" to="(200,120)"/> + <wire from="(480,150)" to="(490,150)"/> + <wire from="(240,1510)" to="(250,1510)"/> + <wire from="(690,240)" to="(690,300)"/> + <wire from="(730,600)" to="(730,660)"/> + <wire from="(980,330)" to="(990,330)"/> + <wire from="(1020,690)" to="(1030,690)"/> + <wire from="(370,240)" to="(370,300)"/> + <wire from="(410,600)" to="(410,660)"/> + <wire from="(800,150)" to="(810,150)"/> + <wire from="(840,1150)" to="(850,1150)"/> + <wire from="(450,960)" to="(450,1020)"/> + <wire from="(920,790)" to="(920,840)"/> + <wire from="(560,870)" to="(570,870)"/> + <wire from="(840,70)" to="(840,120)"/> + <wire from="(520,510)" to="(530,510)"/> + <wire from="(880,430)" to="(880,480)"/> + <wire from="(600,1230)" to="(610,1230)"/> + <comp lib="1" loc="(330,1100)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="SB"/> + <a name="negate2" val="true"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="0" loc="(790,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(350,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(350,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,270)" name="Constant"/> + <comp lib="0" loc="(590,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(900,390)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(390,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(350,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(590,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,540)" name="Constant"/> + <comp lib="1" loc="(600,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(180,1450)" name="Splitter"> + <a name="facing" val="north"/> + <a name="appear" val="center"/> + </comp> + <comp lib="0" loc="(1010,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(760,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(520,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(400,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(400,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1060,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,1260)" name="Constant"/> + <comp lib="2" loc="(890,1050)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(980,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(900,820)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(430,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(630,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,1200)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(900,660)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="4" loc="(710,1580)" name="Register"> + <a name="width" val="1"/> + <a name="trigger" val="falling"/> + </comp> + <comp lib="0" loc="(970,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(480,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,1110)" name="Constant"/> + <comp lib="0" loc="(670,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(940,480)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(440,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(290,1360)" name="Multiplexer"> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(860,640)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,330)" name="Constant"/> + <comp lib="1" loc="(330,470)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="LLI"/> + <a name="negate6" val="true"/> + <a name="negate7" val="true"/> + </comp> + <comp lib="0" loc="(1050,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(800,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(187,1633)" name="Text"> + <a name="text" val="ALU"/> + </comp> + <comp lib="1" loc="(330,650)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="JMP"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + <a name="negate4" val="true"/> + <a name="negate5" val="true"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="1" loc="(560,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(800,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(520,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(760,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(360,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(800,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(940,930)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(1020,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(400,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(760,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="6" loc="(781,1595)" name="Text"> + <a name="text" val="JMP"/> + </comp> + <comp lib="0" loc="(230,1540)" name="Splitter"> + <a name="facing" val="north"/> + <a name="incoming" val="8"/> + <a name="bit1" val="0"/> + <a name="bit2" val="0"/> + <a name="bit3" val="0"/> + <a name="bit4" val="1"/> + <a name="bit5" val="1"/> + <a name="bit6" val="1"/> + <a name="bit7" val="1"/> + </comp> + <comp lib="1" loc="(860,840)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(1060,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(480,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(480,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(710,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(800,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(390,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(520,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(940,820)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(260,1430)" name="Constant"> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,220)" name="Constant"/> + <comp lib="1" loc="(760,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(80,50)" name="Pin"> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="1" loc="(940,1110)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(800,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(260,1390)" name="Constant"> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(900,190)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(900,550)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="2" loc="(290,1400)" name="Multiplexer"> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(400,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(520,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(600,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(970,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(770,1570)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="2" loc="(890,510)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(680,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(930,780)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(1060,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(850,960)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(900,1020)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(750,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(750,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(590,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(560,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(800,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(970,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(710,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1060,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(710,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(680,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(470,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(560,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(360,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(960,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="2" loc="(890,240)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(980,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(850,330)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(860,1090)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(390,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(890,1320)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(800,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(441,1634)" name="Text"> + <a name="text" val="CUR PC ADDR"/> + </comp> + <comp lib="0" loc="(670,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(710,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(930,1320)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(280,1520)" name="OR Gate"> + <a name="width" val="8"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(440,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1060,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(840,1520)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1020,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(470,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(520,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(640,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,1270)" name="Constant"/> + <comp lib="0" loc="(860,280)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(970,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(680,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(930,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(400,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(920,1520)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,120)" name="Constant"/> + <comp lib="0" loc="(670,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(390,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(560,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(980,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(930,330)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(360,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1060,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1100,1510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(430,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="3"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(1050,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(520,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1010,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(640,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1020,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(680,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,400)" name="Constant"/> + <comp lib="1" loc="(480,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(850,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(520,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1060,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(850,510)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(850,1140)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(430,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(350,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(940,1020)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="2" loc="(850,150)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(590,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(930,510)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(350,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(360,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(900,1200)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(940,460)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(860,1020)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(900,370)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(430,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(890,780)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(670,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,480)" name="Constant"/> + <comp lib="0" loc="(280,410)" name="Constant"/> + <comp lib="0" loc="(750,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(980,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(430,1510)" name="Splitter"> + <a name="facing" val="north"/> + <a name="fanout" val="3"/> + <a name="incoming" val="3"/> + <a name="appear" val="center"/> + </comp> + <comp lib="0" loc="(570,1600)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(980,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1020,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(680,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(600,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,1190)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="SP"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="0" loc="(280,390)" name="Constant"/> + <comp lib="0" loc="(860,910)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(710,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,550)" name="Constant"/> + <comp lib="0" loc="(970,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(480,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(760,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1020,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="4" loc="(260,1580)" name="Register"/> + <comp lib="2" loc="(890,870)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(330,200)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="NAND"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="1" loc="(520,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(930,600)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(520,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(640,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(480,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(360,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(360,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(440,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(940,910)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(760,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(550,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(560,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(900,1270)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="6" loc="(881,1559)" name="Text"> + <a name="text" val="B"/> + </comp> + <comp lib="0" loc="(1050,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(560,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(510,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(280,280)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(890,690)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(1050,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1020,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,830)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="POP"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + <a name="negate4" val="true"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="0" loc="(900,640)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(860,1270)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(1010,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1020,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(550,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(590,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,320)" name="Constant"/> + <comp lib="0" loc="(860,460)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="6" loc="(996,1558)" name="Text"> + <a name="text" val="WRITE ENABLE"/> + </comp> + <comp lib="2" loc="(850,1050)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(470,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(980,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(910,1470)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="selloc" val="tr"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(390,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(430,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="6" loc="(46,114)" name="Text"> + <a name="text" val="RST"/> + </comp> + <comp lib="2" loc="(890,150)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(900,750)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(560,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(210,1520)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="4"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(710,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(670,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(800,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(400,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(940,640)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(80,80)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="1" loc="(1060,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(760,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(680,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(260,1350)" name="Constant"> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(470,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(470,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1020,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(640,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(940,750)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(480,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(900,280)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(860,1180)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,1300)" name="Constant"/> + <comp lib="0" loc="(1010,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(480,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(860,730)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(520,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(640,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(710,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(940,1290)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(860,1290)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(860,550)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,1030)" name="Constant"/> + <comp lib="1" loc="(720,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,1010)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="LB"/> + <a name="negate3" val="true"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="2" loc="(850,420)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(290,1440)" name="Multiplexer"> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(1060,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(600,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(520,1580)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(350,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,190)" name="Constant"/> + <comp lib="1" loc="(600,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(19,34)" name="Text"> + <a name="text" val="DATA"/> + </comp> + <comp lib="0" loc="(280,1120)" name="Constant"/> + <comp lib="1" loc="(1020,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(760,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(630,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(930,870)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(160,50)" name="Splitter"> + <a name="facing" val="south"/> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> + </comp> + <comp lib="0" loc="(710,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(940,390)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(560,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(839,1559)" name="Text"> + <a name="text" val="A"/> + </comp> + <comp lib="0" loc="(280,100)" name="Constant"/> + <comp lib="1" loc="(680,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(900,840)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(510,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(840,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="2"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(520,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(900,570)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(480,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(560,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,1200)" name="Constant"/> + <comp lib="0" loc="(280,580)" name="Constant"/> + <comp lib="1" loc="(900,480)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(900,1180)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(930,960)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(430,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1060,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(930,240)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(330,740)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="PUSH"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + <a name="negate5" val="true"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="0" loc="(550,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,120)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(630,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(330,1280)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="CMP"/> + </comp> + <comp lib="1" loc="(520,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(860,750)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(680,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(750,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(930,1140)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(940,280)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(890,420)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(510,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(400,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1020,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(900,210)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(330,380)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="CIN"/> + <a name="negate0" val="true"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + </comp> + <comp lib="1" loc="(1020,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(440,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(480,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(750,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(970,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(800,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1050,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(560,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(860,370)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(1010,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(670,1580)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="1" loc="(330,560)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="LUI"/> + <a name="negate7" val="true"/> + </comp> + <comp lib="2" loc="(850,600)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(760,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(510,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(320,1530)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(940,660)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(800,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(430,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(480,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(900,1110)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(1010,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(760,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(440,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1050,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(430,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(360,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(680,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1010,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(680,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(680,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(280,130)" name="Constant"/> + <comp lib="0" loc="(550,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(790,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(940,100)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(800,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(640,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(470,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1050,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1020,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(760,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(480,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(360,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(510,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(980,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(640,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,180)" name="Constant"/> + <comp lib="6" loc="(333,1633)" name="Text"> + <a name="text" val="MEM POINTER"/> + </comp> + <comp lib="0" loc="(900,100)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(390,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(510,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,1210)" name="Constant"/> + <comp lib="2" loc="(890,600)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(940,730)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,300)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(440,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(850,870)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(550,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(850,240)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(440,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(930,1050)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(640,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(940,370)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(360,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(190,1600)" name="Pin"> + <a name="facing" val="north"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="2" loc="(890,1140)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(360,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(430,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(640,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(900,460)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(590,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,210)" name="Constant"/> + <comp lib="1" loc="(360,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(360,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(600,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,570)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(670,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(760,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(750,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(440,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(680,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(970,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="6" loc="(647,1605)" name="Text"> + <a name="text" val="JMP FLAG"/> + </comp> + <comp lib="6" loc="(44,85)" name="Text"> + <a name="text" val="CLK"/> + </comp> + <comp lib="4" loc="(110,50)" name="Register"> + <a name="trigger" val="falling"/> + </comp> + <comp lib="0" loc="(550,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(790,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(920,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="2"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(330,110)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="OR"/> + <a name="negate0" val="true"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="2" loc="(930,150)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(220,1490)" name="Splitter"> + <a name="facing" val="north"/> + <a name="incoming" val="4"/> + <a name="bit1" val="0"/> + <a name="bit2" val="1"/> + <a name="bit3" val="1"/> + </comp> + <comp lib="1" loc="(940,840)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(1060,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(630,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(390,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(710,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(860,1000)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(890,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(550,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(940,570)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(1050,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,480)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(280,420)" name="Constant"/> + <comp lib="1" loc="(440,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(400,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(350,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="6" loc="(682,1553)" name="Text"> + <a name="text" val="A MUX"/> + </comp> + <comp lib="2" loc="(930,1230)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(280,490)" name="Constant"/> + <comp lib="1" loc="(860,390)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(670,930)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,1020)" name="Constant"/> + <comp lib="0" loc="(710,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(750,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(640,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(980,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(630,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(470,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(980,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(640,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(400,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(470,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,210)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(680,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(520,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(770,1570)" name="Pin"> + <a name="facing" val="west"/> + <a name="output" val="true"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(800,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(970,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(760,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(430,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(900,730)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(1050,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(980,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1010,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(980,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(970,120)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(720,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(440,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(900,300)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="6" loc="(610,1554)" name="Text"> + <a name="text" val="GPR MUX"/> + </comp> + <comp lib="1" loc="(600,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(800,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(480,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(920,1558)" name="Text"> + <a name="text" val="C"/> + </comp> + <comp lib="1" loc="(680,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(330,290)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="ADD"/> + <a name="negate0" val="true"/> + </comp> + <comp lib="1" loc="(880,1520)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(630,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(970,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(800,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(230,1490)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="4"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(430,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(680,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,140)" name="Constant"/> + <comp lib="0" loc="(550,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,930)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(590,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(750,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(790,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1060,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(890,1230)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(390,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(240,1510)" name="Splitter"> + <a name="facing" val="north"/> + <a name="incoming" val="8"/> + <a name="bit1" val="0"/> + <a name="bit2" val="0"/> + <a name="bit3" val="0"/> + <a name="bit4" val="1"/> + <a name="bit5" val="1"/> + <a name="bit6" val="1"/> + <a name="bit7" val="1"/> + </comp> + <comp lib="0" loc="(330,1600)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(750,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(590,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(710,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(850,1230)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(550,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(680,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(900,1290)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(630,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(850,690)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(970,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(520,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,1290)" name="Constant"/> + <comp lib="0" loc="(710,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(390,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(400,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(750,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(980,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,310)" name="Constant"/> + <comp lib="1" loc="(640,1050)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(900,1000)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(400,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(220,1370)" name="Splitter"> + <a name="facing" val="north"/> + <a name="appear" val="center"/> + </comp> + <comp lib="1" loc="(760,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(560,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(640,690)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(430,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(970,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(860,1110)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(720,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(900,120)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="2" loc="(930,690)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(400,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(428,1553)" name="Text"> + <a name="text" val="ALU OPCODE"/> + </comp> + <comp lib="2" loc="(890,960)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(940,300)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(900,930)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(480,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(860,660)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(790,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(970,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1060,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1050,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(560,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(560,330)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(560,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(390,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(400,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(400,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1020,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(750,1110)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(1050,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1060,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1050,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(80,110)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="1" loc="(940,120)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="6" loc="(802,1555)" name="Text"> + <a name="text" val="PUSH"/> + </comp> + <comp lib="0" loc="(860,100)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(640,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(610,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="2"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(360,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(440,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,870)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(980,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(800,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(850,780)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(1060,240)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(440,1600)" name="Pin"> + <a name="facing" val="north"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(670,390)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(940,1200)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="1" loc="(640,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(1160,1650)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(940,1180)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(330,920)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="PCR"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="0" loc="(1050,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(760,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1010,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(520,960)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(350,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(860,820)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(560,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(550,570)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(900,910)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,300)" name="Constant"/> + <comp lib="1" loc="(760,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,90)" name="Constant"/> + <comp lib="0" loc="(280,570)" name="Constant"/> + <comp lib="1" loc="(800,1320)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1010,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(440,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(720,780)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(980,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(900,1090)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(790,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(440,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="6" loc="(760,1553)" name="Text"> + <a name="text" val="POP"/> + </comp> + <comp lib="0" loc="(470,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(390,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(440,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(710,1020)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(750,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(720,600)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="4" loc="(1200,1650)" name="Register"> + <a name="width" val="1"/> + <a name="trigger" val="falling"/> + </comp> + <comp lib="0" loc="(630,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(750,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(160,50)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(940,550)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="6" loc="(572,1633)" name="Text"> + <a name="text" val="IMM VALUE"/> + </comp> + <comp lib="0" loc="(940,1000)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(610,1510)" name="Splitter"> + <a name="facing" val="north"/> + <a name="appear" val="center"/> + </comp> + <comp lib="0" loc="(1010,210)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1020,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1020,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(630,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(930,420)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(720,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(720,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(260,1650)" name="AND Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(280,460)" name="Constant"/> + <comp lib="0" loc="(130,60)" name="Constant"> + <a name="width" val="8"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,150)" name="Constant"/> + <comp lib="1" loc="(360,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(1010,840)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(440,1140)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(790,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(940,1270)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(350,480)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,230)" name="Constant"/> + <comp lib="0" loc="(1010,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,240)" name="Constant"/> + <comp lib="0" loc="(200,1410)" name="Splitter"> + <a name="facing" val="north"/> + <a name="appear" val="center"/> + </comp> + <comp lib="0" loc="(390,750)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(880,1520)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="2"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="2" loc="(850,1320)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(360,150)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(590,300)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(350,660)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(400,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(940,190)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,450)" name="Constant"/> + <comp lib="1" loc="(480,1230)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(630,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(590,1290)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(890,330)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(860,190)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(600,420)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(940,210)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + </comp> + <comp lib="0" loc="(940,1090)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(350,1200)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(980,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + </circuit> + <circuit name="STK"> + <a name="circuit" val="STK"/> + <a name="clabel" val=""/> + <a name="clabelup" val="east"/> + <a name="clabelfont" val="SansSerif plain 12"/> + <appear> + <rect fill="none" height="31" stroke="#000000" stroke-width="2" width="30" x="50" y="60"/> + <text font-family="SansSerif" font-size="12" text-anchor="middle" x="63" y="80">STK</text> + <circ-port height="10" pin="150,220" width="10" x="55" y="55"/> + <circ-port height="8" pin="150,270" width="8" x="76" y="66"/> + <circ-port height="8" pin="90,290" width="8" x="76" y="76"/> + <circ-port height="8" pin="90,330" width="8" x="56" y="86"/> + <circ-port height="8" pin="90,360" width="8" x="66" y="86"/> + <circ-port height="8" pin="180,320" width="8" x="46" y="76"/> + <circ-anchor facing="east" height="6" width="6" x="67" y="57"/> + </appear> + <wire from="(1280,310)" to="(1390,310)"/> + <wire from="(1890,290)" to="(1890,320)"/> + <wire from="(2790,230)" to="(2790,270)"/> + <wire from="(3500,260)" to="(3510,260)"/> + <wire from="(3510,270)" to="(3520,270)"/> + <wire from="(1110,300)" to="(1220,300)"/> + <wire from="(2800,230)" to="(3010,230)"/> + <wire from="(1720,280)" to="(1720,310)"/> + <wire from="(1450,320)" to="(1560,320)"/> + <wire from="(2470,220)" to="(2680,220)"/> + <wire from="(450,290)" to="(450,300)"/> + <wire from="(2240,270)" to="(2260,270)"/> + <wire from="(1860,260)" to="(1860,270)"/> + <wire from="(2460,220)" to="(2460,270)"/> + <wire from="(2230,270)" to="(2240,270)"/> + <wire from="(2690,250)" to="(2700,250)"/> + <wire from="(1040,230)" to="(1250,230)"/> + <wire from="(3210,320)" to="(3320,320)"/> + <wire from="(710,220)" to="(920,220)"/> + <wire from="(1030,230)" to="(1030,270)"/> + <wire from="(3650,290)" to="(3650,320)"/> + <wire from="(3480,280)" to="(3480,310)"/> + <wire from="(480,270)" to="(500,270)"/> + <wire from="(2210,290)" to="(2210,300)"/> + <wire from="(1750,270)" to="(1760,270)"/> + <wire from="(1740,260)" to="(1750,260)"/> + <wire from="(150,310)" to="(150,360)"/> + <wire from="(470,270)" to="(480,270)"/> + <wire from="(700,220)" to="(700,270)"/> + <wire from="(930,250)" to="(940,250)"/> + <wire from="(2870,300)" to="(2980,300)"/> + <wire from="(3620,260)" to="(3620,270)"/> + <wire from="(3040,310)" to="(3150,310)"/> + <wire from="(3220,270)" to="(3230,270)"/> + <wire from="(1370,220)" to="(1370,250)"/> + <wire from="(2100,300)" to="(2210,300)"/> + <wire from="(1700,230)" to="(1700,250)"/> + <wire from="(1120,290)" to="(1120,320)"/> + <wire from="(950,280)" to="(950,310)"/> + <wire from="(510,310)" to="(620,310)"/> + <wire from="(340,300)" to="(450,300)"/> + <wire from="(680,320)" to="(790,320)"/> + <wire from="(1090,260)" to="(1090,270)"/> + <wire from="(3230,270)" to="(3250,270)"/> + <wire from="(2740,270)" to="(2750,270)"/> + <wire from="(1440,290)" to="(1440,300)"/> + <wire from="(2730,260)" to="(2740,260)"/> + <wire from="(3460,230)" to="(3460,250)"/> + <wire from="(1460,270)" to="(1470,270)"/> + <wire from="(2850,260)" to="(2850,270)"/> + <wire from="(1920,250)" to="(1930,250)"/> + <wire from="(2270,310)" to="(2380,310)"/> + <wire from="(3130,220)" to="(3130,250)"/> + <wire from="(150,300)" to="(230,300)"/> + <wire from="(160,250)" to="(170,250)"/> + <wire from="(2880,290)" to="(2880,320)"/> + <wire from="(2440,320)" to="(2550,320)"/> + <wire from="(2710,280)" to="(2710,310)"/> + <wire from="(970,260)" to="(980,260)"/> + <wire from="(980,270)" to="(990,270)"/> + <wire from="(3200,290)" to="(3200,300)"/> + <wire from="(1470,270)" to="(1490,270)"/> + <wire from="(670,290)" to="(670,300)"/> + <wire from="(1940,280)" to="(1940,310)"/> + <wire from="(3020,230)" to="(3230,230)"/> + <wire from="(1500,310)" to="(1610,310)"/> + <wire from="(3010,230)" to="(3010,270)"/> + <wire from="(1330,300)" to="(1440,300)"/> + <wire from="(2690,220)" to="(2900,220)"/> + <wire from="(2460,270)" to="(2480,270)"/> + <wire from="(1670,320)" to="(1780,320)"/> + <wire from="(320,260)" to="(320,270)"/> + <wire from="(2450,270)" to="(2460,270)"/> + <wire from="(2080,260)" to="(2080,270)"/> + <wire from="(2910,250)" to="(2920,250)"/> + <wire from="(2680,220)" to="(2680,270)"/> + <wire from="(350,290)" to="(350,320)"/> + <wire from="(180,280)" to="(180,310)"/> + <wire from="(930,220)" to="(1140,220)"/> + <wire from="(3260,310)" to="(3370,310)"/> + <wire from="(90,290)" to="(120,290)"/> + <wire from="(3430,320)" to="(3540,320)"/> + <wire from="(700,270)" to="(720,270)"/> + <wire from="(1150,250)" to="(1160,250)"/> + <wire from="(1970,270)" to="(1980,270)"/> + <wire from="(1960,260)" to="(1970,260)"/> + <wire from="(110,320)" to="(130,320)"/> + <wire from="(2430,290)" to="(2430,300)"/> + <wire from="(200,260)" to="(210,260)"/> + <wire from="(210,270)" to="(220,270)"/> + <wire from="(1250,230)" to="(1250,270)"/> + <wire from="(3090,300)" to="(3200,300)"/> + <wire from="(690,270)" to="(700,270)"/> + <wire from="(920,220)" to="(920,270)"/> + <wire from="(1260,230)" to="(1470,230)"/> + <wire from="(2110,290)" to="(2110,320)"/> + <wire from="(3440,270)" to="(3450,270)"/> + <wire from="(180,320)" to="(240,320)"/> + <wire from="(1590,220)" to="(1590,250)"/> + <wire from="(1920,230)" to="(1920,250)"/> + <wire from="(1340,290)" to="(1340,320)"/> + <wire from="(1170,280)" to="(1170,310)"/> + <wire from="(1660,290)" to="(1660,300)"/> + <wire from="(160,230)" to="(160,250)"/> + <wire from="(2140,250)" to="(2150,250)"/> + <wire from="(3450,270)" to="(3470,270)"/> + <wire from="(1310,260)" to="(1310,270)"/> + <wire from="(730,310)" to="(840,310)"/> + <wire from="(2960,270)" to="(2970,270)"/> + <wire from="(2950,260)" to="(2960,260)"/> + <wire from="(560,300)" to="(670,300)"/> + <wire from="(900,320)" to="(1010,320)"/> + <wire from="(1200,270)" to="(1210,270)"/> + <wire from="(3070,260)" to="(3070,270)"/> + <wire from="(1190,260)" to="(1200,260)"/> + <wire from="(3350,220)" to="(3350,250)"/> + <wire from="(1680,270)" to="(1690,270)"/> + <wire from="(3100,290)" to="(3100,320)"/> + <wire from="(2320,300)" to="(2430,300)"/> + <wire from="(2930,280)" to="(2930,310)"/> + <wire from="(380,250)" to="(390,250)"/> + <wire from="(1690,270)" to="(1710,270)"/> + <wire from="(2490,310)" to="(2600,310)"/> + <wire from="(3420,290)" to="(3420,300)"/> + <wire from="(2660,320)" to="(2770,320)"/> + <wire from="(540,260)" to="(540,270)"/> + <wire from="(2910,220)" to="(3120,220)"/> + <wire from="(2680,270)" to="(2700,270)"/> + <wire from="(1550,300)" to="(1660,300)"/> + <wire from="(890,290)" to="(890,300)"/> + <wire from="(1720,310)" to="(1830,310)"/> + <wire from="(110,310)" to="(110,320)"/> + <wire from="(1890,320)" to="(2000,320)"/> + <wire from="(570,290)" to="(570,320)"/> + <wire from="(2190,270)" to="(2200,270)"/> + <wire from="(2180,260)" to="(2190,260)"/> + <wire from="(400,280)" to="(400,310)"/> + <wire from="(3230,230)" to="(3230,270)"/> + <wire from="(3130,250)" to="(3140,250)"/> + <wire from="(2670,270)" to="(2680,270)"/> + <wire from="(2900,220)" to="(2900,270)"/> + <wire from="(3240,230)" to="(3450,230)"/> + <wire from="(3310,300)" to="(3420,300)"/> + <wire from="(1370,250)" to="(1380,250)"/> + <wire from="(920,270)" to="(940,270)"/> + <wire from="(2650,290)" to="(2650,300)"/> + <wire from="(1140,220)" to="(1140,270)"/> + <wire from="(3480,310)" to="(3590,310)"/> + <wire from="(2300,260)" to="(2300,270)"/> + <wire from="(430,270)" to="(440,270)"/> + <wire from="(420,260)" to="(430,260)"/> + <wire from="(1480,230)" to="(1690,230)"/> + <wire from="(910,270)" to="(920,270)"/> + <wire from="(2330,290)" to="(2330,320)"/> + <wire from="(1470,230)" to="(1470,270)"/> + <wire from="(2160,280)" to="(2160,310)"/> + <wire from="(1150,220)" to="(1360,220)"/> + <wire from="(3660,270)" to="(3670,270)"/> + <wire from="(1120,320)" to="(1230,320)"/> + <wire from="(1810,220)" to="(1810,250)"/> + <wire from="(3180,270)" to="(3190,270)"/> + <wire from="(3170,260)" to="(3180,260)"/> + <wire from="(1560,290)" to="(1560,320)"/> + <wire from="(1390,280)" to="(1390,310)"/> + <wire from="(380,230)" to="(380,250)"/> + <wire from="(2360,250)" to="(2370,250)"/> + <wire from="(1880,290)" to="(1880,300)"/> + <wire from="(950,310)" to="(1060,310)"/> + <wire from="(1530,260)" to="(1530,270)"/> + <wire from="(780,300)" to="(890,300)"/> + <wire from="(1410,260)" to="(1420,260)"/> + <wire from="(1420,270)" to="(1430,270)"/> + <wire from="(3570,220)" to="(3570,250)"/> + <wire from="(3570,220)" to="(3670,220)"/> + <wire from="(1900,270)" to="(1910,270)"/> + <wire from="(3320,290)" to="(3320,320)"/> + <wire from="(150,270)" to="(170,270)"/> + <wire from="(3150,280)" to="(3150,310)"/> + <wire from="(1910,270)" to="(1930,270)"/> + <wire from="(2540,300)" to="(2650,300)"/> + <wire from="(2140,230)" to="(2140,250)"/> + <wire from="(2880,320)" to="(2990,320)"/> + <wire from="(2710,310)" to="(2820,310)"/> + <wire from="(3290,260)" to="(3290,270)"/> + <wire from="(3640,290)" to="(3640,300)"/> + <wire from="(600,250)" to="(610,250)"/> + <wire from="(2900,270)" to="(2920,270)"/> + <wire from="(760,260)" to="(760,270)"/> + <wire from="(3130,220)" to="(3340,220)"/> + <wire from="(3350,250)" to="(3360,250)"/> + <wire from="(1770,300)" to="(1880,300)"/> + <wire from="(1940,310)" to="(2050,310)"/> + <wire from="(180,310)" to="(290,310)"/> + <wire from="(2400,260)" to="(2410,260)"/> + <wire from="(790,290)" to="(790,320)"/> + <wire from="(2410,270)" to="(2420,270)"/> + <wire from="(620,280)" to="(620,310)"/> + <wire from="(350,320)" to="(460,320)"/> + <wire from="(1110,290)" to="(1110,300)"/> + <wire from="(3460,230)" to="(3670,230)"/> + <wire from="(3450,230)" to="(3450,270)"/> + <wire from="(3120,220)" to="(3120,270)"/> + <wire from="(2890,270)" to="(2900,270)"/> + <wire from="(2870,290)" to="(2870,300)"/> + <wire from="(1360,220)" to="(1360,270)"/> + <wire from="(1130,270)" to="(1140,270)"/> + <wire from="(3530,300)" to="(3640,300)"/> + <wire from="(2520,260)" to="(2520,270)"/> + <wire from="(1590,250)" to="(1600,250)"/> + <wire from="(1690,230)" to="(1690,270)"/> + <wire from="(2110,320)" to="(2220,320)"/> + <wire from="(1700,230)" to="(1910,230)"/> + <wire from="(2550,290)" to="(2550,320)"/> + <wire from="(2380,280)" to="(2380,310)"/> + <wire from="(1370,220)" to="(1580,220)"/> + <wire from="(1140,270)" to="(1160,270)"/> + <wire from="(650,270)" to="(660,270)"/> + <wire from="(640,260)" to="(650,260)"/> + <wire from="(2030,220)" to="(2030,250)"/> + <wire from="(1170,310)" to="(1280,310)"/> + <wire from="(3400,270)" to="(3410,270)"/> + <wire from="(1780,290)" to="(1780,320)"/> + <wire from="(3390,260)" to="(3400,260)"/> + <wire from="(1610,280)" to="(1610,310)"/> + <wire from="(1340,320)" to="(1450,320)"/> + <wire from="(2130,270)" to="(2150,270)"/> + <wire from="(340,290)" to="(340,300)"/> + <wire from="(1750,260)" to="(1750,270)"/> + <wire from="(2580,250)" to="(2590,250)"/> + <wire from="(2100,290)" to="(2100,300)"/> + <wire from="(2120,270)" to="(2130,270)"/> + <wire from="(270,220)" to="(270,250)"/> + <wire from="(600,230)" to="(600,250)"/> + <wire from="(1000,300)" to="(1110,300)"/> + <wire from="(370,270)" to="(390,270)"/> + <wire from="(3540,290)" to="(3540,320)"/> + <wire from="(3370,280)" to="(3370,310)"/> + <wire from="(1630,260)" to="(1640,260)"/> + <wire from="(1640,270)" to="(1650,270)"/> + <wire from="(360,270)" to="(370,270)"/> + <wire from="(2360,230)" to="(2360,250)"/> + <wire from="(820,250)" to="(830,250)"/> + <wire from="(2760,300)" to="(2870,300)"/> + <wire from="(3100,320)" to="(3210,320)"/> + <wire from="(2930,310)" to="(3040,310)"/> + <wire from="(3510,260)" to="(3510,270)"/> + <wire from="(3340,220)" to="(3340,270)"/> + <wire from="(3570,250)" to="(3580,250)"/> + <wire from="(3120,270)" to="(3140,270)"/> + <wire from="(980,260)" to="(980,270)"/> + <wire from="(1990,300)" to="(2100,300)"/> + <wire from="(150,220)" to="(260,220)"/> + <wire from="(2630,270)" to="(2640,270)"/> + <wire from="(1010,290)" to="(1010,320)"/> + <wire from="(2620,260)" to="(2630,260)"/> + <wire from="(230,300)" to="(340,300)"/> + <wire from="(840,280)" to="(840,310)"/> + <wire from="(400,310)" to="(510,310)"/> + <wire from="(3640,300)" to="(3670,300)"/> + <wire from="(3110,270)" to="(3120,270)"/> + <wire from="(570,320)" to="(680,320)"/> + <wire from="(3650,320)" to="(3670,320)"/> + <wire from="(3350,220)" to="(3560,220)"/> + <wire from="(1330,290)" to="(1330,300)"/> + <wire from="(3670,230)" to="(3670,270)"/> + <wire from="(1350,270)" to="(1360,270)"/> + <wire from="(2740,260)" to="(2740,270)"/> + <wire from="(3090,290)" to="(3090,300)"/> + <wire from="(1580,220)" to="(1580,270)"/> + <wire from="(160,230)" to="(370,230)"/> + <wire from="(1810,250)" to="(1820,250)"/> + <wire from="(2330,320)" to="(2440,320)"/> + <wire from="(2160,310)" to="(2270,310)"/> + <wire from="(1920,230)" to="(2130,230)"/> + <wire from="(2770,290)" to="(2770,320)"/> + <wire from="(1910,230)" to="(1910,270)"/> + <wire from="(1590,220)" to="(1800,220)"/> + <wire from="(110,310)" to="(120,310)"/> + <wire from="(1360,270)" to="(1380,270)"/> + <wire from="(2600,280)" to="(2600,310)"/> + <wire from="(870,270)" to="(880,270)"/> + <wire from="(860,260)" to="(870,260)"/> + <wire from="(1220,300)" to="(1330,300)"/> + <wire from="(3610,260)" to="(3620,260)"/> + <wire from="(2000,290)" to="(2000,320)"/> + <wire from="(3620,270)" to="(3630,270)"/> + <wire from="(560,290)" to="(560,300)"/> + <wire from="(1830,280)" to="(1830,310)"/> + <wire from="(1560,320)" to="(1670,320)"/> + <wire from="(1390,310)" to="(1500,310)"/> + <wire from="(210,260)" to="(210,270)"/> + <wire from="(2350,270)" to="(2370,270)"/> + <wire from="(2340,270)" to="(2350,270)"/> + <wire from="(1970,260)" to="(1970,270)"/> + <wire from="(820,230)" to="(820,250)"/> + <wire from="(490,220)" to="(490,250)"/> + <wire from="(2800,250)" to="(2810,250)"/> + <wire from="(240,290)" to="(240,320)"/> + <wire from="(3320,320)" to="(3430,320)"/> + <wire from="(590,270)" to="(610,270)"/> + <wire from="(3590,280)" to="(3590,310)"/> + <wire from="(2320,290)" to="(2320,300)"/> + <wire from="(1850,260)" to="(1860,260)"/> + <wire from="(1860,270)" to="(1870,270)"/> + <wire from="(2580,230)" to="(2580,250)"/> + <wire from="(1040,250)" to="(1050,250)"/> + <wire from="(2250,220)" to="(2250,250)"/> + <wire from="(3150,310)" to="(3260,310)"/> + <wire from="(580,270)" to="(590,270)"/> + <wire from="(2980,300)" to="(3090,300)"/> + <wire from="(3330,270)" to="(3340,270)"/> + <wire from="(3560,220)" to="(3560,270)"/> + <wire from="(2130,230)" to="(2130,270)"/> + <wire from="(1230,290)" to="(1230,320)"/> + <wire from="(2140,230)" to="(2350,230)"/> + <wire from="(1060,280)" to="(1060,310)"/> + <wire from="(100,360)" to="(150,360)"/> + <wire from="(450,300)" to="(560,300)"/> + <wire from="(1200,260)" to="(1200,270)"/> + <wire from="(620,310)" to="(730,310)"/> + <wire from="(1550,290)" to="(1550,300)"/> + <wire from="(2850,270)" to="(2860,270)"/> + <wire from="(2840,260)" to="(2850,260)"/> + <wire from="(790,320)" to="(900,320)"/> + <wire from="(3340,270)" to="(3360,270)"/> + <wire from="(1570,270)" to="(1580,270)"/> + <wire from="(1090,270)" to="(1100,270)"/> + <wire from="(1080,260)" to="(1090,260)"/> + <wire from="(2960,260)" to="(2960,270)"/> + <wire from="(2030,250)" to="(2040,250)"/> + <wire from="(1800,220)" to="(1800,270)"/> + <wire from="(380,230)" to="(590,230)"/> + <wire from="(370,230)" to="(370,270)"/> + <wire from="(270,250)" to="(280,250)"/> + <wire from="(2990,290)" to="(2990,320)"/> + <wire from="(2210,300)" to="(2320,300)"/> + <wire from="(2820,280)" to="(2820,310)"/> + <wire from="(2550,320)" to="(2660,320)"/> + <wire from="(1580,270)" to="(1600,270)"/> + <wire from="(1810,220)" to="(2020,220)"/> + <wire from="(2380,310)" to="(2490,310)"/> + <wire from="(3310,290)" to="(3310,300)"/> + <wire from="(780,290)" to="(780,300)"/> + <wire from="(2050,280)" to="(2050,310)"/> + <wire from="(1440,300)" to="(1550,300)"/> + <wire from="(1780,320)" to="(1890,320)"/> + <wire from="(1610,310)" to="(1720,310)"/> + <wire from="(2570,270)" to="(2590,270)"/> + <wire from="(430,260)" to="(430,270)"/> + <wire from="(2560,270)" to="(2570,270)"/> + <wire from="(710,220)" to="(710,250)"/> + <wire from="(460,290)" to="(460,320)"/> + <wire from="(290,280)" to="(290,310)"/> + <wire from="(1040,230)" to="(1040,250)"/> + <wire from="(3020,250)" to="(3030,250)"/> + <wire from="(810,270)" to="(830,270)"/> + <wire from="(3370,310)" to="(3480,310)"/> + <wire from="(3200,300)" to="(3310,300)"/> + <wire from="(1260,250)" to="(1270,250)"/> + <wire from="(3540,320)" to="(3650,320)"/> + <wire from="(2080,270)" to="(2090,270)"/> + <wire from="(2070,260)" to="(2080,260)"/> + <wire from="(2190,260)" to="(2190,270)"/> + <wire from="(2540,290)" to="(2540,300)"/> + <wire from="(320,270)" to="(330,270)"/> + <wire from="(310,260)" to="(320,260)"/> + <wire from="(90,360)" to="(100,360)"/> + <wire from="(800,270)" to="(810,270)"/> + <wire from="(2470,220)" to="(2470,250)"/> + <wire from="(2800,230)" to="(2800,250)"/> + <wire from="(2220,290)" to="(2220,320)"/> + <wire from="(3550,270)" to="(3560,270)"/> + <wire from="(1450,290)" to="(1450,320)"/> + <wire from="(2350,230)" to="(2350,270)"/> + <wire from="(2360,230)" to="(2570,230)"/> + <wire from="(1280,280)" to="(1280,310)"/> + <wire from="(1770,290)" to="(1770,300)"/> + <wire from="(2250,250)" to="(2260,250)"/> + <wire from="(3070,270)" to="(3080,270)"/> + <wire from="(3060,260)" to="(3070,260)"/> + <wire from="(670,300)" to="(780,300)"/> + <wire from="(3560,270)" to="(3580,270)"/> + <wire from="(1010,320)" to="(1120,320)"/> + <wire from="(840,310)" to="(950,310)"/> + <wire from="(1420,260)" to="(1420,270)"/> + <wire from="(590,230)" to="(590,270)"/> + <wire from="(1300,260)" to="(1310,260)"/> + <wire from="(1310,270)" to="(1320,270)"/> + <wire from="(600,230)" to="(810,230)"/> + <wire from="(270,220)" to="(480,220)"/> + <wire from="(2020,220)" to="(2020,270)"/> + <wire from="(1790,270)" to="(1800,270)"/> + <wire from="(3210,290)" to="(3210,320)"/> + <wire from="(2030,220)" to="(2240,220)"/> + <wire from="(3040,280)" to="(3040,310)"/> + <wire from="(490,250)" to="(500,250)"/> + <wire from="(2600,310)" to="(2710,310)"/> + <wire from="(2430,300)" to="(2540,300)"/> + <wire from="(260,220)" to="(260,270)"/> + <wire from="(90,330)" to="(100,330)"/> + <wire from="(1800,270)" to="(1820,270)"/> + <wire from="(2770,320)" to="(2880,320)"/> + <wire from="(3180,260)" to="(3180,270)"/> + <wire from="(3530,290)" to="(3530,300)"/> + <wire from="(650,260)" to="(650,270)"/> + <wire from="(1000,290)" to="(1000,300)"/> + <wire from="(2790,270)" to="(2810,270)"/> + <wire from="(3240,250)" to="(3250,250)"/> + <wire from="(1260,230)" to="(1260,250)"/> + <wire from="(1830,310)" to="(1940,310)"/> + <wire from="(1660,300)" to="(1770,300)"/> + <wire from="(100,350)" to="(100,360)"/> + <wire from="(2000,320)" to="(2110,320)"/> + <wire from="(930,220)" to="(930,250)"/> + <wire from="(240,320)" to="(350,320)"/> + <wire from="(2290,260)" to="(2300,260)"/> + <wire from="(680,290)" to="(680,320)"/> + <wire from="(2300,270)" to="(2310,270)"/> + <wire from="(510,280)" to="(510,310)"/> + <wire from="(2780,270)" to="(2790,270)"/> + <wire from="(1480,250)" to="(1490,250)"/> + <wire from="(3420,300)" to="(3530,300)"/> + <wire from="(2760,290)" to="(2760,300)"/> + <wire from="(1030,270)" to="(1050,270)"/> + <wire from="(2410,260)" to="(2410,270)"/> + <wire from="(2690,220)" to="(2690,250)"/> + <wire from="(1020,270)" to="(1030,270)"/> + <wire from="(3020,230)" to="(3020,250)"/> + <wire from="(2440,290)" to="(2440,320)"/> + <wire from="(2270,280)" to="(2270,310)"/> + <wire from="(530,260)" to="(540,260)"/> + <wire from="(540,270)" to="(550,270)"/> + <wire from="(1230,320)" to="(1340,320)"/> + <wire from="(1060,310)" to="(1170,310)"/> + <wire from="(3590,310)" to="(3670,310)"/> + <wire from="(3290,270)" to="(3300,270)"/> + <wire from="(1670,290)" to="(1670,320)"/> + <wire from="(3280,260)" to="(3290,260)"/> + <wire from="(1500,280)" to="(1500,310)"/> + <wire from="(230,290)" to="(230,300)"/> + <wire from="(2580,230)" to="(2790,230)"/> + <wire from="(2250,220)" to="(2460,220)"/> + <wire from="(2570,230)" to="(2570,270)"/> + <wire from="(2240,220)" to="(2240,270)"/> + <wire from="(1640,260)" to="(1640,270)"/> + <wire from="(2470,250)" to="(2480,250)"/> + <wire from="(1990,290)" to="(1990,300)"/> + <wire from="(890,300)" to="(1000,300)"/> + <wire from="(150,310)" to="(180,310)"/> + <wire from="(1530,270)" to="(1540,270)"/> + <wire from="(1520,260)" to="(1530,260)"/> + <wire from="(820,230)" to="(1030,230)"/> + <wire from="(810,230)" to="(810,270)"/> + <wire from="(260,270)" to="(280,270)"/> + <wire from="(2010,270)" to="(2020,270)"/> + <wire from="(490,220)" to="(700,220)"/> + <wire from="(3430,290)" to="(3430,320)"/> + <wire from="(3260,280)" to="(3260,310)"/> + <wire from="(2020,270)" to="(2040,270)"/> + <wire from="(480,220)" to="(480,270)"/> + <wire from="(250,270)" to="(260,270)"/> + <wire from="(2820,310)" to="(2930,310)"/> + <wire from="(3400,260)" to="(3400,270)"/> + <wire from="(2650,300)" to="(2760,300)"/> + <wire from="(710,250)" to="(720,250)"/> + <wire from="(2990,320)" to="(3100,320)"/> + <wire from="(3010,270)" to="(3030,270)"/> + <wire from="(3460,250)" to="(3470,250)"/> + <wire from="(1480,230)" to="(1480,250)"/> + <wire from="(870,260)" to="(870,270)"/> + <wire from="(2050,310)" to="(2160,310)"/> + <wire from="(1880,300)" to="(1990,300)"/> + <wire from="(1150,220)" to="(1150,250)"/> + <wire from="(2510,260)" to="(2520,260)"/> + <wire from="(2520,270)" to="(2530,270)"/> + <wire from="(900,290)" to="(900,320)"/> + <wire from="(460,320)" to="(570,320)"/> + <wire from="(730,280)" to="(730,310)"/> + <wire from="(130,320)" to="(130,340)"/> + <wire from="(290,310)" to="(400,310)"/> + <wire from="(1220,290)" to="(1220,300)"/> + <wire from="(3000,270)" to="(3010,270)"/> + <wire from="(1240,270)" to="(1250,270)"/> + <wire from="(3240,230)" to="(3240,250)"/> + <wire from="(2980,290)" to="(2980,300)"/> + <wire from="(2630,260)" to="(2630,270)"/> + <wire from="(1700,250)" to="(1710,250)"/> + <wire from="(2910,220)" to="(2910,250)"/> + <wire from="(2220,320)" to="(2330,320)"/> + <wire from="(2660,290)" to="(2660,320)"/> + <wire from="(2490,280)" to="(2490,310)"/> + <wire from="(750,260)" to="(760,260)"/> + <wire from="(760,270)" to="(770,270)"/> + <wire from="(1250,270)" to="(1270,270)"/> + <comp lib="4" loc="(2890,270)" name="Register"/> + <comp lib="4" loc="(3660,270)" name="Register"/> + <comp lib="4" loc="(1460,270)" name="Register"/> + <comp lib="2" loc="(2620,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(1080,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(420,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(580,270)" name="Register"/> + <comp lib="2" loc="(1410,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(310,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(3500,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(2670,270)" name="Register"/> + <comp lib="4" loc="(2230,270)" name="Register"/> + <comp lib="2" loc="(200,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(3280,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(1630,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(180,320)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="4" loc="(250,270)" name="Register"/> + <comp lib="0" loc="(150,270)" name="Pin"> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="2" loc="(1190,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(1570,270)" name="Register"/> + <comp lib="0" loc="(90,330)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="1" loc="(150,300)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="4" loc="(800,270)" name="Register"/> + <comp lib="2" loc="(2840,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(3170,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(360,270)" name="Register"/> + <comp lib="2" loc="(3610,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(1520,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(3000,270)" name="Register"/> + <comp lib="4" loc="(3220,270)" name="Register"/> + <comp lib="2" loc="(640,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(910,270)" name="Register"/> + <comp lib="4" loc="(3110,270)" name="Register"/> + <comp lib="2" loc="(750,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(2780,270)" name="Register"/> + <comp lib="0" loc="(90,290)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="4" loc="(1790,270)" name="Register"/> + <comp lib="2" loc="(970,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(1300,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(2120,270)" name="Register"/> + <comp lib="2" loc="(2070,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(1240,270)" name="Register"/> + <comp lib="2" loc="(2290,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(3330,270)" name="Register"/> + <comp lib="2" loc="(1960,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(2560,270)" name="Register"/> + <comp lib="1" loc="(130,340)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="4" loc="(1900,270)" name="Register"/> + <comp lib="4" loc="(3440,270)" name="Register"/> + <comp lib="2" loc="(3060,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(1680,270)" name="Register"/> + <comp lib="4" loc="(1130,270)" name="Register"/> + <comp lib="4" loc="(2450,270)" name="Register"/> + <comp lib="4" loc="(470,270)" name="Register"/> + <comp lib="2" loc="(1850,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(3670,220)" name="Constant"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="2" loc="(2400,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(2510,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(1020,270)" name="Register"/> + <comp lib="2" loc="(2730,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(2950,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(1740,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(90,360)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="4" loc="(2340,270)" name="Register"/> + <comp lib="0" loc="(150,220)" name="Pin"> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="4" loc="(690,270)" name="Register"/> + <comp lib="2" loc="(530,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(1350,270)" name="Register"/> + <comp lib="2" loc="(2180,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="4" loc="(3550,270)" name="Register"/> + <comp lib="4" loc="(2010,270)" name="Register"/> + <comp lib="2" loc="(3390,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(860,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + </circuit> + <circuit name="PC"> + <a name="circuit" val="PC"/> + <a name="clabel" val=""/> + <a name="clabelup" val="east"/> + <a name="clabelfont" val="SansSerif plain 12"/> + <appear> + <rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/> + <text font-family="SansSerif" font-size="12" text-anchor="middle" x="65" y="69">PC</text> + <circ-port height="8" pin="140,320" width="8" x="56" y="46"/> + <circ-port height="8" pin="140,400" width="8" x="56" y="76"/> + <circ-port height="8" pin="140,350" width="8" x="76" y="56"/> + <circ-port height="10" pin="270,360" width="10" x="65" y="75"/> + <circ-port height="8" pin="140,430" width="8" x="46" y="66"/> + <circ-port height="10" pin="360,360" width="10" x="75" y="65"/> + <circ-port height="8" pin="140,380" width="8" x="76" y="76"/> + <circ-anchor facing="east" height="6" width="6" x="57" y="77"/> + </appear> + <wire from="(140,350)" to="(200,350)"/> + <wire from="(140,400)" to="(200,400)"/> + <wire from="(140,320)" to="(190,320)"/> + <wire from="(140,380)" to="(190,380)"/> + <wire from="(140,430)" to="(250,430)"/> + <wire from="(170,280)" to="(340,280)"/> + <wire from="(360,280)" to="(360,360)"/> + <wire from="(170,280)" to="(170,300)"/> + <wire from="(200,330)" to="(200,350)"/> + <wire from="(250,330)" to="(250,430)"/> + <wire from="(270,310)" to="(290,310)"/> + <wire from="(340,280)" to="(360,280)"/> + <wire from="(340,280)" to="(340,320)"/> + <wire from="(170,300)" to="(190,300)"/> + <wire from="(260,310)" to="(270,310)"/> + <wire from="(330,320)" to="(340,320)"/> + <wire from="(220,310)" to="(230,310)"/> + <wire from="(230,390)" to="(240,390)"/> + <wire from="(270,310)" to="(270,360)"/> + <wire from="(240,330)" to="(240,390)"/> + <comp lib="3" loc="(330,320)" name="Adder"/> + <comp lib="0" loc="(140,380)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(140,350)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="6" loc="(79,355)" name="Text"> + <a name="text" val="Jump Enable"/> + </comp> + <comp lib="4" loc="(260,310)" name="Register"> + <a name="trigger" val="falling"/> + </comp> + <comp lib="1" loc="(230,390)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate0" val="true"/> + </comp> + <comp lib="0" loc="(360,360)" name="Pin"> + <a name="facing" val="west"/> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(140,320)" name="Pin"> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(140,430)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(290,330)" name="Constant"> + <a name="width" val="8"/> + </comp> + <comp lib="6" loc="(101,297)" name="Text"> + <a name="text" val="Jump Address"/> + </comp> + <comp lib="0" loc="(270,360)" name="Pin"> + <a name="facing" val="west"/> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(140,400)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="2" loc="(220,310)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + </circuit> +</project> diff --git a/CPU/programs/fibb b/CPU/programs/fibb @@ -1,4 +1,4 @@ v2.0 raw 3f 7f 9f 23 7b d0 7 98 9b 0 58 ab 0 df 83 7 -83 10 237*0 90 +83 10 diff --git a/example_assembly.asm b/example_assembly.asm @@ -4,4 +4,5 @@ mov GRA GRB sc: cin GRB GRB sb GRB + lb GRC jmp sc diff --git a/tisc.c b/tisc.c @@ -129,10 +129,12 @@ uint8_t getRegisterEnumeration(char* string) { return GR_B; } else - if ((string != NULL) && (strcmp(string, GR_B_STRING) == 0)) + if ((string != NULL) && (strcmp(string, GR_C_STRING) == 0)) { return GR_C; } + + return 0xFF; } int assemble_0arg( @@ -426,6 +428,17 @@ int process(int line, int* address, uint8_t *buffer, char *label, char *opcode, return status; } +int output_file(FILE* output_file, uint8_t* bytes, int size) +{ + fprintf(output_file, "v2.0 raw\n"); + + for (int i = 0; i < size; i++) + { + fprintf(output_file, "%x%s", bytes[i], (i % 8 == 0 && i != 0) ? "\n" : " "); + } + return 1; +} + int main(int argc, char *argv[]) { if (argc != 3) @@ -497,16 +510,9 @@ int main(int argc, char *argv[]) } } - if (fwrite(w_buffer, sizeof(uint8_t), full_size, outputf) == full_size) - { - printf("Wrote %i bytes to file '%s'\n", - full_size, output); - } - else - { - printf("Failed to write %i bytes to file '%s'!\n", - full_size, output); - } + // Output Logisim raw v2.0 format + + output_file(outputf, w_buffer, full_size); } DITCH: