tisc

Unnamed repository; edit this file 'description' to name the repository.
Log | Files | Refs | README

commit bddc146e813f6851ba1ecca6a66d288869b2bdf0
parent c3e34c45f6f28c2f6fe8cb36bcc69ef81fc9fa52
Author: Paul Longtine <paul@nanner.co>
Date:   Tue, 16 Jun 2020 03:27:45 -0400

Added heaps of documentation, added a new instruction, and trimmed a lot of the excess off of the instruction set decoder

Diffstat:
MCPU/CPU.circ | 5738+++++++++++++++++++++++--------------------------------------------------------
DCPU/programs/README | 2--
DCPU/programs/allofascii | 3---
DCPU/programs/fibb | 4----
DCPU/programs/print | 10----------
DCPU/programs/show | 8--------
AExamplePrograms/README.md | 126+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
AExamplePrograms/fibb_test.tac | 22++++++++++++++++++++++
AExamplePrograms/test_compare.tac | 18++++++++++++++++++
AExamplePrograms/weird_loop_test.tac | 18++++++++++++++++++
MINSTRUCTION_SET | 60++++++++++++++++++++++++++++++------------------------------
AREADME.md | 16++++++++++++++++
DTestPrograms/example_assembly.tac | 7-------
DTestPrograms/fibb_test.tac | 21---------------------
DTestPrograms/test_compare.tac | 7-------
DTestPrograms/weird_loop_test.tac | 14--------------
Mtisc.c | 5+++--
17 files changed, 1901 insertions(+), 4178 deletions(-)

diff --git a/CPU/CPU.circ b/CPU/CPU.circ @@ -28,7 +28,7 @@ <a name="valign" val="base"/> </tool> </lib> - <main name="MCU"/> + <main name="ExampleConfigurationROM"/> <options> <a name="gateUndefined" val="ignore"/> <a name="simlimit" val="1000"/> @@ -70,7 +70,7 @@ <path d="M53,12 Q57,22 61,12" fill="none" stroke="#808080" stroke-width="2"/> <rect fill="none" height="120" stroke="#000000" stroke-width="2" width="120" x="40" y="11"/> <rect fill="#707070" height="120" stroke="#000000" width="120" x="40" y="10"/> - <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="101" y="126">tisc v1.0</text> + <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="101" y="126">tisc v1.2</text> <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="153" y="23">INPUT_ENABLE</text> <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="151" y="42">INPUT</text> <text fill="#fafafa" font-family="Dialog" font-size="10" text-anchor="end" x="153" y="62">ADDRESS</text> @@ -86,74 +86,43 @@ <circ-anchor facing="east" height="6" width="6" x="157" y="17"/> </appear> <wire from="(350,140)" to="(350,210)"/> - <wire from="(190,80)" to="(250,80)"/> <wire from="(340,40)" to="(340,180)"/> - <wire from="(250,150)" to="(250,160)"/> <wire from="(230,150)" to="(230,160)"/> - <wire from="(180,200)" to="(230,200)"/> - <wire from="(290,150)" to="(290,160)"/> - <wire from="(290,110)" to="(290,120)"/> - <wire from="(350,250)" to="(350,260)"/> - <wire from="(230,40)" to="(340,40)"/> - <wire from="(190,30)" to="(360,30)"/> <wire from="(170,210)" to="(170,230)"/> - <wire from="(240,220)" to="(240,240)"/> - <wire from="(140,110)" to="(140,200)"/> + <wire from="(330,200)" to="(440,200)"/> + <wire from="(440,200)" to="(440,230)"/> <wire from="(220,130)" to="(220,220)"/> <wire from="(260,220)" to="(260,250)"/> <wire from="(140,110)" to="(180,110)"/> - <wire from="(190,180)" to="(230,180)"/> <wire from="(270,360)" to="(310,360)"/> <wire from="(220,100)" to="(220,130)"/> <wire from="(230,250)" to="(260,250)"/> <wire from="(210,350)" to="(240,350)"/> - <wire from="(220,60)" to="(250,60)"/> - <wire from="(410,240)" to="(410,340)"/> <wire from="(150,240)" to="(240,240)"/> <wire from="(200,380)" to="(420,380)"/> <wire from="(330,190)" to="(360,190)"/> - <wire from="(380,240)" to="(410,240)"/> - <wire from="(370,250)" to="(400,250)"/> <wire from="(260,130)" to="(280,130)"/> - <wire from="(400,250)" to="(400,360)"/> - <wire from="(330,140)" to="(350,140)"/> <wire from="(190,30)" to="(190,70)"/> <wire from="(220,60)" to="(220,100)"/> <wire from="(320,80)" to="(320,120)"/> <wire from="(380,260)" to="(380,300)"/> - <wire from="(140,440)" to="(480,440)"/> - <wire from="(150,280)" to="(170,280)"/> <wire from="(200,120)" to="(200,170)"/> <wire from="(210,110)" to="(290,110)"/> - <wire from="(140,200)" to="(150,200)"/> - <wire from="(160,260)" to="(170,260)"/> - <wire from="(230,370)" to="(240,370)"/> <wire from="(220,220)" to="(230,220)"/> - <wire from="(220,400)" to="(420,400)"/> - <wire from="(410,340)" to="(480,340)"/> <wire from="(350,20)" to="(350,140)"/> - <wire from="(210,350)" to="(210,420)"/> <wire from="(190,70)" to="(250,70)"/> <wire from="(190,80)" to="(190,90)"/> <wire from="(250,220)" to="(250,230)"/> - <wire from="(240,150)" to="(240,160)"/> <wire from="(180,190)" to="(230,190)"/> - <wire from="(180,210)" to="(230,210)"/> <wire from="(300,150)" to="(300,160)"/> - <wire from="(320,150)" to="(320,160)"/> <wire from="(260,150)" to="(260,160)"/> - <wire from="(310,340)" to="(310,360)"/> - <wire from="(220,320)" to="(220,400)"/> - <wire from="(200,300)" to="(200,380)"/> <wire from="(160,30)" to="(160,180)"/> <wire from="(140,20)" to="(140,110)"/> <wire from="(170,230)" to="(210,230)"/> - <wire from="(210,230)" to="(250,230)"/> <wire from="(200,300)" to="(240,300)"/> - <wire from="(360,190)" to="(360,220)"/> - <wire from="(200,270)" to="(200,300)"/> + <wire from="(210,230)" to="(250,230)"/> + <wire from="(440,340)" to="(480,340)"/> <wire from="(160,30)" to="(190,30)"/> - <wire from="(200,170)" to="(230,170)"/> <wire from="(360,30)" to="(360,190)"/> <wire from="(290,80)" to="(320,80)"/> <wire from="(350,260)" to="(380,260)"/> @@ -162,22 +131,82 @@ <wire from="(330,210)" to="(350,210)"/> <wire from="(150,240)" to="(150,280)"/> <wire from="(400,360)" to="(420,360)"/> - <wire from="(180,210)" to="(180,250)"/> <wire from="(140,20)" to="(350,20)"/> - <wire from="(230,90)" to="(250,90)"/> - <wire from="(220,320)" to="(240,320)"/> <wire from="(160,210)" to="(160,260)"/> <wire from="(230,40)" to="(230,90)"/> - <wire from="(210,420)" to="(480,420)"/> <wire from="(140,200)" to="(140,440)"/> <wire from="(330,180)" to="(340,180)"/> <wire from="(210,100)" to="(220,100)"/> + <wire from="(190,120)" to="(190,180)"/> + <wire from="(190,80)" to="(250,80)"/> + <wire from="(250,150)" to="(250,160)"/> + <wire from="(180,200)" to="(230,200)"/> + <wire from="(290,150)" to="(290,160)"/> + <wire from="(290,110)" to="(290,120)"/> + <wire from="(350,250)" to="(350,260)"/> + <wire from="(230,40)" to="(340,40)"/> + <wire from="(190,30)" to="(360,30)"/> + <wire from="(240,220)" to="(240,240)"/> + <wire from="(140,110)" to="(140,200)"/> + <wire from="(190,180)" to="(230,180)"/> + <wire from="(440,250)" to="(440,340)"/> + <wire from="(220,60)" to="(250,60)"/> + <wire from="(370,250)" to="(400,250)"/> + <wire from="(400,250)" to="(400,360)"/> + <wire from="(330,140)" to="(350,140)"/> + <wire from="(140,440)" to="(480,440)"/> + <wire from="(150,280)" to="(170,280)"/> + <wire from="(430,250)" to="(440,250)"/> + <wire from="(140,200)" to="(150,200)"/> + <wire from="(160,260)" to="(170,260)"/> + <wire from="(230,370)" to="(240,370)"/> + <wire from="(220,400)" to="(420,400)"/> + <wire from="(210,350)" to="(210,420)"/> + <wire from="(240,150)" to="(240,160)"/> + <wire from="(180,210)" to="(230,210)"/> + <wire from="(320,150)" to="(320,160)"/> + <wire from="(310,340)" to="(310,360)"/> + <wire from="(220,320)" to="(220,400)"/> + <wire from="(200,300)" to="(200,380)"/> + <wire from="(360,190)" to="(360,220)"/> + <wire from="(200,270)" to="(200,300)"/> + <wire from="(200,170)" to="(230,170)"/> + <wire from="(380,240)" to="(400,240)"/> + <wire from="(180,210)" to="(180,250)"/> + <wire from="(230,90)" to="(250,90)"/> + <wire from="(220,320)" to="(240,320)"/> + <wire from="(210,420)" to="(480,420)"/> <wire from="(220,130)" to="(230,130)"/> <wire from="(270,100)" to="(270,160)"/> <wire from="(230,250)" to="(230,370)"/> <wire from="(210,230)" to="(210,350)"/> <wire from="(210,110)" to="(210,230)"/> - <wire from="(190,120)" to="(190,180)"/> + <comp loc="(160,210)" name="PC"/> + <comp lib="2" loc="(360,220)" name="Multiplexer"> + <a name="facing" val="north"/> + <a name="selloc" val="tr"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(290,80)" name="Multiplexer"> + <a name="select" val="2"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="2" loc="(200,270)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp loc="(280,150)" name="GPR"/> + <comp loc="(230,170)" name="ISD"/> + <comp loc="(260,130)" name="ALU"/> + <comp lib="1" loc="(400,240)" name="AND Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate0" val="true"/> + </comp> <comp lib="0" loc="(420,380)" name="Pin"> <a name="facing" val="west"/> <a name="output" val="true"/> @@ -187,16 +216,13 @@ <a name="label" val="ADDR"/> <a name="labelloc" val="east"/> </comp> - <comp lib="0" loc="(420,400)" name="Pin"> + <comp lib="0" loc="(480,340)" name="Pin"> <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="width" val="8"/> <a name="tristate" val="false"/> <a name="pull" val="down"/> - <a name="label" val="OUT"/> + <a name="label" val="IN ENABLE"/> <a name="labelloc" val="east"/> </comp> - <comp loc="(280,150)" name="GPR"/> <comp lib="0" loc="(420,360)" name="Pin"> <a name="facing" val="west"/> <a name="width" val="8"/> @@ -205,18 +231,6 @@ <a name="label" val="IN"/> <a name="labelloc" val="east"/> </comp> - <comp lib="0" loc="(480,340)" name="Pin"> - <a name="facing" val="west"/> - <a name="tristate" val="false"/> - <a name="pull" val="down"/> - <a name="label" val="IN ENABLE"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="2" loc="(290,80)" name="Multiplexer"> - <a name="select" val="2"/> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> <comp lib="0" loc="(480,420)" name="Pin"> <a name="facing" val="west"/> <a name="tristate" val="false"/> @@ -224,25 +238,14 @@ <a name="label" val="CLK"/> <a name="labelloc" val="east"/> </comp> - <comp loc="(200,90)" name="STK"/> - <comp lib="4" loc="(380,300)" name="RAM"> - <a name="bus" val="separate"/> - </comp> - <comp loc="(160,210)" name="PC"/> <comp lib="0" loc="(290,340)" name="Constant"> <a name="facing" val="north"/> </comp> - <comp lib="1" loc="(270,360)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="6" loc="(303,244)" name="Text"> + <a name="text" val="TISCv1.0"/> + <a name="font" val="Dialog plain 14"/> </comp> <comp lib="6" loc="(290,239)" name="Text"/> - <comp lib="2" loc="(360,220)" name="Multiplexer"> - <a name="facing" val="north"/> - <a name="selloc" val="tr"/> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> <comp lib="0" loc="(480,440)" name="Pin"> <a name="facing" val="west"/> <a name="tristate" val="false"/> @@ -250,17 +253,23 @@ <a name="label" val="RST"/> <a name="labelloc" val="east"/> </comp> - <comp loc="(260,130)" name="ALU"/> - <comp lib="6" loc="(303,244)" name="Text"> - <a name="text" val="TISCv1.0"/> - <a name="font" val="Dialog plain 14"/> + <comp loc="(200,90)" name="STK"/> + <comp lib="1" loc="(270,360)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="2" loc="(200,270)" name="Multiplexer"> - <a name="selloc" val="tr"/> + <comp lib="0" loc="(420,400)" name="Pin"> + <a name="facing" val="west"/> + <a name="output" val="true"/> <a name="width" val="8"/> - <a name="enable" val="false"/> + <a name="tristate" val="false"/> + <a name="pull" val="down"/> + <a name="label" val="OUT"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="4" loc="(380,300)" name="RAM"> + <a name="bus" val="separate"/> </comp> - <comp loc="(230,170)" name="ISD"/> </circuit> <circuit name="ALU"> <a name="circuit" val="ALU"/> @@ -579,741 +588,742 @@ <wire from="(200,270)" to="(210,270)"/> <wire from="(200,750)" to="(210,750)"/> <wire from="(550,420)" to="(550,470)"/> - <comp lib="1" loc="(330,760)" name="AND Gate"> + <comp lib="1" loc="(270,770)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(310,600)" name="OR Gate"> - <a name="facing" val="west"/> + <comp lib="1" loc="(340,640)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(560,150)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b1"/> - </comp> - <comp lib="0" loc="(130,570)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a5"/> - </comp> - <comp lib="0" loc="(130,720)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b7"/> - </comp> - <comp lib="1" loc="(270,140)" name="AND Gate"> + <comp lib="1" loc="(190,490)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(140,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="!A"/> + <comp lib="0" loc="(670,250)" name="Tunnel"> + <a name="label" val="o1"/> </comp> - <comp lib="0" loc="(710,130)" name="Splitter"> - <a name="facing" val="west"/> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> + <comp lib="0" loc="(500,550)" name="Pin"> + <a name="width" val="4"/> + <a name="tristate" val="false"/> </comp> - <comp lib="0" loc="(130,630)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b6"/> + <comp lib="1" loc="(190,460)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(130,360)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b3"/> + <comp lib="1" loc="(300,510)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> <comp lib="0" loc="(560,180)" name="Tunnel"> <a name="facing" val="east"/> <a name="label" val="b4"/> </comp> - <comp lib="1" loc="(300,150)" name="AND Gate"> + <comp lib="1" loc="(300,450)" name="OR Gate"> + <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(330,220)" name="AND Gate"> + <comp lib="0" loc="(390,640)" name="Tunnel"> + <a name="label" val="o6"/> + </comp> + <comp lib="1" loc="(300,240)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(500,550)" name="Pin"> - <a name="width" val="4"/> - </comp> - <comp lib="1" loc="(310,780)" name="OR Gate"> - <a name="facing" val="west"/> + <comp lib="1" loc="(190,730)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(390,100)" name="Tunnel"> - <a name="label" val="o0"/> - </comp> - <comp lib="0" loc="(690,160)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a2"/> - </comp> - <comp lib="4" loc="(670,550)" name="ROM"> - <a name="addrWidth" val="4"/> - <a name="dataWidth" val="4"/> - <a name="contents">addr/data: 4 4 -7 3 9 d a -</a> + <comp lib="0" loc="(670,550)" name="Splitter"> + <a name="fanout" val="4"/> + <a name="incoming" val="4"/> </comp> - <comp lib="1" loc="(270,500)" name="AND Gate"> + <comp lib="1" loc="(310,150)" name="OR Gate"> + <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(560,160)" name="Tunnel"> + <comp lib="0" loc="(560,140)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="b2"/> + <a name="label" val="b0"/> </comp> - <comp lib="1" loc="(330,580)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> + <comp lib="0" loc="(670,280)" name="Tunnel"> + <a name="label" val="o4"/> </comp> - <comp lib="0" loc="(390,370)" name="Tunnel"> - <a name="label" val="o3"/> + <comp lib="0" loc="(130,660)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a6"/> </comp> - <comp lib="1" loc="(190,460)" name="XOR Gate"> + <comp lib="1" loc="(250,280)" name="NOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(190,190)" name="XOR Gate"> + <comp lib="1" loc="(270,590)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(300,450)" name="OR Gate"> + <comp lib="0" loc="(740,380)" name="Tunnel"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="label" val="!A"/> </comp> - <comp lib="0" loc="(670,300)" name="Tunnel"> - <a name="label" val="o6"/> + <comp lib="0" loc="(770,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="CC"/> </comp> - <comp lib="0" loc="(130,540)" name="Tunnel"> + <comp lib="0" loc="(130,270)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="b5"/> + <a name="label" val="b2"/> </comp> - <comp lib="1" loc="(190,310)" name="XOR Gate"> + <comp lib="1" loc="(310,780)" name="OR Gate"> + <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(130,210)" name="Tunnel"> + <comp lib="0" loc="(790,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="FC"/> + </comp> + <comp lib="0" loc="(560,190)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="a1"/> + <a name="label" val="b5"/> </comp> - <comp lib="6" loc="(612,164)" name="Text"> - <a name="text" val="Input B"/> + <comp lib="0" loc="(180,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="!B"/> </comp> - <comp lib="1" loc="(240,490)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(390,370)" name="Tunnel"> + <a name="label" val="o3"/> </comp> - <comp lib="1" loc="(190,280)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(390,730)" name="Tunnel"> + <a name="label" val="o7"/> </comp> - <comp lib="0" loc="(770,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="CC"/> + <comp lib="0" loc="(650,320)" name="Pin"> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> </comp> - <comp lib="1" loc="(190,550)" name="XOR Gate"> + <comp lib="1" loc="(310,600)" name="OR Gate"> + <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(300,630)" name="OR Gate"> - <a name="facing" val="south"/> + <comp lib="1" loc="(240,130)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(190,220)" name="XOR Gate"> + <comp lib="1" loc="(340,730)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(340,100)" name="XNOR Gate"> + <comp lib="1" loc="(330,490)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(670,280)" name="Tunnel"> - <a name="label" val="o4"/> - </comp> - <comp lib="0" loc="(390,460)" name="Tunnel"> - <a name="label" val="o4"/> + <comp lib="0" loc="(130,750)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a7"/> </comp> - <comp lib="1" loc="(300,270)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(130,90)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b0"/> </comp> - <comp lib="1" loc="(190,760)" name="XOR Gate"> + <comp lib="1" loc="(270,500)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(560,140)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b0"/> - </comp> - <comp lib="0" loc="(740,380)" name="Tunnel"> + <comp lib="0" loc="(300,70)" name="Tunnel"> <a name="facing" val="south"/> - <a name="label" val="!A"/> + <a name="label" val="CI"/> </comp> - <comp lib="2" loc="(310,870)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="selloc" val="tr"/> - <a name="enable" val="false"/> + <comp lib="0" loc="(690,150)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a1"/> </comp> - <comp lib="6" loc="(624,352)" name="Text"> - <a name="text" val="Opcodes"/> + <comp lib="0" loc="(390,190)" name="Tunnel"> + <a name="label" val="o1"/> </comp> - <comp lib="0" loc="(340,70)" name="Tunnel"> + <comp lib="0" loc="(780,380)" name="Tunnel"> <a name="facing" val="south"/> - <a name="label" val="FC"/> - </comp> - <comp lib="1" loc="(340,190)" name="XNOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,640)" name="Tunnel"> - <a name="label" val="o6"/> - </comp> - <comp lib="1" loc="(250,460)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="label" val="CI"/> </comp> - <comp lib="1" loc="(330,400)" name="AND Gate"> + <comp lib="1" loc="(300,780)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(560,170)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b3"/> - </comp> - <comp lib="0" loc="(650,320)" name="Splitter"> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> - </comp> - <comp lib="1" loc="(300,240)" name="AND Gate"> + <comp lib="1" loc="(270,680)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(340,640)" name="XNOR Gate"> + <comp lib="1" loc="(300,810)" name="OR Gate"> + <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="4" loc="(730,400)" name="ROM"> - <a name="addrWidth" val="3"/> - <a name="dataWidth" val="6"/> - <a name="contents">addr/data: 3 6 -8 3b 4 0 2 38 7 12 -</a> + <comp lib="6" loc="(624,352)" name="Text"> + <a name="text" val="Opcodes"/> </comp> - <comp lib="6" loc="(880,461)" name="Text"> - <a name="text" val="OPCODES: 000: OR, 001: AND, 010: XOR, 011: ADD, 100: CIN, 101: NAND, 110: XNOR, 111: SUB"/> + <comp lib="0" loc="(580,130)" name="Pin"> + <a name="facing" val="west"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> </comp> - <comp lib="1" loc="(250,550)" name="NOR Gate"> + <comp lib="1" loc="(330,220)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(750,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="!B"/> - </comp> - <comp lib="0" loc="(640,440)" name="Constant"/> <comp lib="0" loc="(670,260)" name="Tunnel"> <a name="label" val="o2"/> </comp> - <comp lib="1" loc="(190,130)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,310)" name="Tunnel"> - <a name="label" val="o7"/> - </comp> - <comp lib="0" loc="(670,270)" name="Tunnel"> - <a name="label" val="o3"/> - </comp> - <comp lib="0" loc="(690,210)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a7"/> - </comp> - <comp lib="0" loc="(780,380)" name="Tunnel"> + <comp lib="1" loc="(300,360)" name="OR Gate"> <a name="facing" val="south"/> - <a name="label" val="CI"/> - </comp> - <comp lib="1" loc="(190,640)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(690,190)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a5"/> - </comp> - <comp lib="1" loc="(280,380)" name="OR Gate"> + <comp lib="1" loc="(310,240)" name="OR Gate"> + <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(130,90)" name="Tunnel"> + <comp lib="0" loc="(690,170)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="b0"/> + <a name="label" val="a3"/> </comp> - <comp lib="1" loc="(300,690)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> + <comp lib="0" loc="(130,450)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b4"/> </comp> - <comp lib="0" loc="(390,190)" name="Tunnel"> - <a name="label" val="o1"/> + <comp lib="0" loc="(130,300)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a2"/> </comp> <comp lib="0" loc="(260,70)" name="Tunnel"> <a name="facing" val="south"/> <a name="label" val="CC"/> </comp> - <comp lib="0" loc="(760,380)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="OR"/> - </comp> - <comp lib="1" loc="(250,280)" name="NOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(310,420)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(130,570)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a5"/> </comp> - <comp lib="1" loc="(250,100)" name="NOR Gate"> + <comp lib="1" loc="(330,580)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(690,170)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a3"/> - </comp> - <comp lib="0" loc="(390,730)" name="Tunnel"> - <a name="label" val="o7"/> - </comp> - <comp lib="1" loc="(340,550)" name="XNOR Gate"> + <comp lib="1" loc="(190,190)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(300,180)" name="OR Gate"> - <a name="facing" val="south"/> + <comp lib="1" loc="(300,690)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(250,190)" name="NOR Gate"> + <comp lib="1" loc="(190,760)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(270,320)" name="AND Gate"> + <comp lib="1" loc="(250,550)" name="NOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(670,290)" name="Tunnel"> - <a name="label" val="o5"/> + <comp lib="0" loc="(690,210)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a7"/> </comp> - <comp lib="1" loc="(310,330)" name="OR Gate"> - <a name="facing" val="west"/> + <comp lib="1" loc="(250,640)" name="NOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(250,640)" name="NOR Gate"> + <comp lib="1" loc="(280,560)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(130,120)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a0"/> + <comp lib="0" loc="(580,130)" name="Splitter"> + <a name="facing" val="west"/> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> </comp> - <comp lib="1" loc="(300,780)" name="AND Gate"> + <comp lib="1" loc="(300,420)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(330,670)" name="AND Gate"> + <comp lib="1" loc="(190,640)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(300,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="CI"/> - </comp> - <comp lib="1" loc="(270,410)" name="AND Gate"> + <comp lib="1" loc="(330,310)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(190,730)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(640,440)" name="Constant"/> + <comp lib="2" loc="(570,400)" name="Multiplexer"> + <a name="width" val="3"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(250,730)" name="NOR Gate"> + <comp lib="0" loc="(690,160)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a2"/> + </comp> + <comp lib="0" loc="(670,310)" name="Tunnel"> + <a name="label" val="o7"/> + </comp> + <comp lib="1" loc="(830,280)" name="OR Gate"> + <a name="size" val="70"/> + <a name="inputs" val="8"/> + </comp> + <comp lib="1" loc="(280,110)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(250,370)" name="NOR Gate"> + <comp lib="0" loc="(390,460)" name="Tunnel"> + <a name="label" val="o4"/> + </comp> + <comp lib="1" loc="(300,600)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> <comp lib="0" loc="(500,380)" name="Pin"> <a name="width" val="3"/> <a name="tristate" val="false"/> </comp> - <comp lib="1" loc="(830,280)" name="OR Gate"> - <a name="size" val="70"/> - <a name="inputs" val="8"/> - </comp> - <comp lib="0" loc="(130,450)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b4"/> + <comp lib="6" loc="(611,272)" name="Text"> + <a name="text" val="Output"/> </comp> - <comp lib="1" loc="(240,760)" name="AND Gate"> + <comp lib="1" loc="(280,380)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(730,400)" name="Splitter"> - <a name="facing" val="north"/> - <a name="fanout" val="6"/> - <a name="incoming" val="6"/> - <a name="appear" val="right"/> - </comp> - <comp lib="6" loc="(472,453)" name="Text"> - <a name="text" val="COMPARE ENABLE"/> + <comp lib="0" loc="(690,180)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a4"/> </comp> - <comp lib="1" loc="(240,220)" name="AND Gate"> + <comp lib="1" loc="(250,370)" name="NOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(690,150)" name="Tunnel"> + <comp lib="0" loc="(690,140)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="a1"/> + <a name="label" val="a0"/> </comp> - <comp lib="1" loc="(270,590)" name="AND Gate"> + <comp lib="4" loc="(670,550)" name="ROM"> + <a name="addrWidth" val="4"/> + <a name="dataWidth" val="4"/> + <a name="contents">addr/data: 4 4 +7 3 9 d a +</a> + </comp> + <comp lib="0" loc="(130,120)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a0"/> + </comp> + <comp lib="1" loc="(280,650)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(300,510)" name="AND Gate"> + <comp lib="1" loc="(190,310)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(710,500)" name="Splitter"> + <comp lib="1" loc="(310,330)" name="OR Gate"> <a name="facing" val="west"/> - <a name="fanout" val="3"/> - <a name="incoming" val="3"/> - </comp> - <comp lib="1" loc="(270,770)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(280,110)" name="OR Gate"> + <comp lib="1" loc="(190,370)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(340,460)" name="XNOR Gate"> + <comp lib="1" loc="(340,100)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(300,330)" name="AND Gate"> + <comp lib="1" loc="(280,290)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(390,280)" name="Tunnel"> - <a name="label" val="o2"/> + <comp lib="0" loc="(130,210)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a1"/> </comp> - <comp lib="1" loc="(310,510)" name="OR Gate"> + <comp lib="1" loc="(310,420)" name="OR Gate"> <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(130,480)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a4"/> - </comp> - <comp lib="1" loc="(190,370)" name="XOR Gate"> + <comp lib="1" loc="(190,100)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(690,200)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="a6"/> - </comp> - <comp lib="0" loc="(560,210)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b7"/> - </comp> - <comp lib="1" loc="(240,580)" name="AND Gate"> + <comp lib="1" loc="(280,200)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="6" loc="(481,532)" name="Text"> - <a name="text" val="AUX OP"/> + <comp lib="0" loc="(730,400)" name="Splitter"> + <a name="facing" val="north"/> + <a name="fanout" val="6"/> + <a name="incoming" val="6"/> + <a name="appear" val="right"/> </comp> - <comp lib="1" loc="(190,490)" name="XOR Gate"> + <comp lib="1" loc="(330,400)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(670,550)" name="Splitter"> - <a name="fanout" val="4"/> - <a name="incoming" val="4"/> - </comp> - <comp lib="1" loc="(300,420)" name="AND Gate"> + <comp lib="1" loc="(340,460)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="6" loc="(340,904)" name="Text"> - <a name="text" val="FLAG"/> </comp> - <comp lib="1" loc="(280,650)" name="OR Gate"> + <comp lib="1" loc="(240,310)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(180,70)" name="Tunnel"> + <comp lib="1" loc="(300,270)" name="OR Gate"> <a name="facing" val="south"/> - <a name="label" val="!B"/> - </comp> - <comp lib="1" loc="(280,290)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(670,240)" name="Tunnel"> - <a name="label" val="o0"/> - </comp> - <comp lib="1" loc="(300,600)" name="AND Gate"> + <comp lib="1" loc="(340,190)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(130,180)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b1"/> </comp> - <comp lib="1" loc="(300,360)" name="OR Gate"> + <comp lib="1" loc="(300,630)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(310,150)" name="OR Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(670,290)" name="Tunnel"> + <a name="label" val="o5"/> </comp> - <comp lib="1" loc="(240,130)" name="AND Gate"> + <comp lib="0" loc="(340,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="FC"/> + </comp> + <comp lib="1" loc="(250,190)" name="NOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="6" loc="(488,363)" name="Text"> - <a name="text" val="OPCODE"/> + <comp lib="0" loc="(560,210)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b7"/> </comp> - <comp lib="1" loc="(310,690)" name="OR Gate"> + <comp lib="0" loc="(710,130)" name="Pin"> <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> </comp> - <comp lib="0" loc="(790,380)" name="Tunnel"> + <comp lib="0" loc="(750,380)" name="Tunnel"> <a name="facing" val="south"/> - <a name="label" val="FC"/> + <a name="label" val="!B"/> </comp> - <comp lib="1" loc="(190,580)" name="XOR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="4" loc="(730,400)" name="ROM"> + <a name="addrWidth" val="3"/> + <a name="dataWidth" val="6"/> + <a name="contents">addr/data: 3 6 +8 3b 4 0 2 38 7 12 +</a> </comp> - <comp lib="0" loc="(690,180)" name="Tunnel"> + <comp lib="0" loc="(130,480)" name="Tunnel"> <a name="facing" val="east"/> <a name="label" val="a4"/> </comp> - <comp lib="0" loc="(560,190)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b5"/> - </comp> - <comp lib="6" loc="(611,272)" name="Text"> - <a name="text" val="Output"/> - </comp> - <comp lib="0" loc="(670,250)" name="Tunnel"> - <a name="label" val="o1"/> - </comp> - <comp lib="0" loc="(650,320)" name="Pin"> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> + <comp lib="2" loc="(310,870)" name="Multiplexer"> + <a name="facing" val="south"/> + <a name="selloc" val="tr"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(330,310)" name="AND Gate"> + <comp lib="1" loc="(340,280)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> - <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(280,200)" name="OR Gate"> + <comp lib="1" loc="(240,490)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(710,130)" name="Pin"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(300,540)" name="OR Gate"> + <comp lib="0" loc="(220,70)" name="Tunnel"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="label" val="OR"/> </comp> - <comp lib="1" loc="(240,400)" name="AND Gate"> + <comp lib="1" loc="(270,230)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(300,720)" name="OR Gate"> - <a name="facing" val="south"/> + <comp lib="6" loc="(481,532)" name="Text"> + <a name="text" val="AUX OP"/> + </comp> + <comp lib="1" loc="(190,670)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(130,270)" name="Tunnel"> - <a name="facing" val="east"/> - <a name="label" val="b2"/> - </comp> - <comp lib="0" loc="(310,890)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="tristate" val="false"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(130,660)" name="Tunnel"> + <comp lib="0" loc="(130,720)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="a6"/> - </comp> - <comp lib="0" loc="(580,130)" name="Pin"> - <a name="facing" val="west"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(220,70)" name="Tunnel"> - <a name="facing" val="south"/> - <a name="label" val="OR"/> + <a name="label" val="b7"/> </comp> - <comp lib="1" loc="(300,810)" name="OR Gate"> + <comp lib="1" loc="(300,180)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(280,470)" name="OR Gate"> + <comp lib="1" loc="(240,670)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(710,320)" name="Splitter"> + <comp lib="0" loc="(710,130)" name="Splitter"> + <a name="facing" val="west"/> <a name="fanout" val="8"/> <a name="incoming" val="8"/> </comp> - <comp lib="6" loc="(746,165)" name="Text"> - <a name="text" val="Input A"/> + <comp lib="1" loc="(300,150)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(130,390)" name="Tunnel"> + <comp lib="1" loc="(330,760)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(130,630)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="a3"/> + <a name="label" val="b6"/> </comp> - <comp lib="1" loc="(330,490)" name="AND Gate"> + <comp lib="1" loc="(280,470)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(500,470)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="0" loc="(140,70)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="!A"/> + </comp> + <comp lib="1" loc="(330,130)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(280,740)" name="OR Gate"> + <comp lib="6" loc="(612,164)" name="Text"> + <a name="text" val="Input B"/> + </comp> + <comp lib="0" loc="(560,160)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b2"/> + </comp> + <comp lib="0" loc="(560,200)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b6"/> + </comp> + <comp lib="1" loc="(340,370)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(240,310)" name="AND Gate"> + <comp lib="1" loc="(240,220)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(270,230)" name="AND Gate"> + <comp lib="1" loc="(300,330)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(310,240)" name="OR Gate"> + <comp lib="1" loc="(310,690)" name="OR Gate"> <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(500,470)" name="Pin"> - <a name="tristate" val="false"/> + <comp lib="6" loc="(340,904)" name="Text"> + <a name="text" val="FLAG"/> </comp> - <comp lib="1" loc="(190,100)" name="XOR Gate"> + <comp lib="0" loc="(390,100)" name="Tunnel"> + <a name="label" val="o0"/> + </comp> + <comp lib="1" loc="(310,510)" name="OR Gate"> + <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(340,730)" name="XNOR Gate"> + <comp lib="0" loc="(130,180)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b1"/> + </comp> + <comp lib="0" loc="(690,200)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a6"/> + </comp> + <comp lib="0" loc="(650,320)" name="Splitter"> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> + </comp> + <comp lib="1" loc="(250,730)" name="NOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(330,130)" name="AND Gate"> + <comp lib="6" loc="(880,461)" name="Text"> + <a name="text" val="OPCODES: 000: OR, 001: AND, 010: XOR, 011: ADD, 100: CIN, 101: NAND, 110: XNOR, 111: SUB"/> + </comp> + <comp lib="1" loc="(280,740)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(270,410)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(340,280)" name="XNOR Gate"> + <comp lib="1" loc="(330,670)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(280,560)" name="OR Gate"> + <comp lib="1" loc="(240,760)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(130,750)" name="Tunnel"> + <comp lib="0" loc="(560,170)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="a7"/> + <a name="label" val="b3"/> </comp> - <comp lib="1" loc="(240,670)" name="AND Gate"> + <comp lib="1" loc="(190,550)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(670,300)" name="Tunnel"> + <a name="label" val="o6"/> + </comp> + <comp lib="1" loc="(190,280)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(690,190)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="a5"/> + </comp> + <comp lib="1" loc="(240,580)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> <comp lib="0" loc="(390,550)" name="Tunnel"> <a name="label" val="o5"/> </comp> - <comp lib="0" loc="(690,140)" name="Tunnel"> + <comp lib="1" loc="(250,100)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(488,363)" name="Text"> + <a name="text" val="OPCODE"/> + </comp> + <comp lib="0" loc="(130,540)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="a0"/> + <a name="label" val="b5"/> </comp> - <comp lib="0" loc="(580,130)" name="Splitter"> - <a name="facing" val="west"/> + <comp lib="0" loc="(310,890)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="tristate" val="false"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(710,320)" name="Splitter"> <a name="fanout" val="8"/> <a name="incoming" val="8"/> </comp> - <comp lib="0" loc="(560,200)" name="Tunnel"> + <comp lib="1" loc="(250,460)" name="NOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,720)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(190,580)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(240,400)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(560,150)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="b6"/> + <a name="label" val="b1"/> </comp> - <comp lib="2" loc="(570,400)" name="Multiplexer"> - <a name="width" val="3"/> - <a name="enable" val="false"/> + <comp lib="0" loc="(710,500)" name="Splitter"> + <a name="facing" val="west"/> + <a name="fanout" val="3"/> + <a name="incoming" val="3"/> </comp> - <comp lib="1" loc="(190,670)" name="XOR Gate"> + <comp lib="1" loc="(270,140)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> + <a name="negate1" val="true"/> </comp> - <comp lib="0" loc="(130,300)" name="Tunnel"> + <comp lib="1" loc="(190,130)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(130,390)" name="Tunnel"> <a name="facing" val="east"/> - <a name="label" val="a2"/> + <a name="label" val="a3"/> </comp> - <comp lib="1" loc="(270,680)" name="AND Gate"> + <comp lib="0" loc="(390,280)" name="Tunnel"> + <a name="label" val="o2"/> + </comp> + <comp lib="0" loc="(670,270)" name="Tunnel"> + <a name="label" val="o3"/> + </comp> + <comp lib="1" loc="(270,320)" name="AND Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(190,400)" name="XOR Gate"> + <comp lib="0" loc="(760,380)" name="Tunnel"> + <a name="facing" val="south"/> + <a name="label" val="OR"/> + </comp> + <comp lib="1" loc="(340,550)" name="XNOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(340,370)" name="XNOR Gate"> + <comp lib="6" loc="(472,453)" name="Text"> + <a name="text" val="COMPARE ENABLE"/> + </comp> + <comp lib="0" loc="(670,240)" name="Tunnel"> + <a name="label" val="o0"/> + </comp> + <comp lib="1" loc="(300,540)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="6" loc="(746,165)" name="Text"> + <a name="text" val="Input A"/> + </comp> + <comp lib="1" loc="(190,220)" name="XOR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(190,400)" name="XOR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> + <comp lib="0" loc="(130,360)" name="Tunnel"> + <a name="facing" val="east"/> + <a name="label" val="b3"/> + </comp> </circuit> <circuit name="GPR"> <a name="circuit" val="GPR"/> @@ -1395,66 +1405,62 @@ <wire from="(180,250)" to="(190,250)"/> <wire from="(220,250)" to="(230,250)"/> <wire from="(300,250)" to="(300,310)"/> - <comp lib="2" loc="(150,340)" name="Decoder"> - <a name="facing" val="north"/> - <a name="select" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(250,230)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> + <comp lib="0" loc="(100,70)" name="Constant"> + <a name="width" val="2"/> + <a name="value" val="0x0"/> </comp> <comp lib="4" loc="(230,170)" name="Register"/> <comp lib="1" loc="(230,260)" name="Controlled Buffer"> <a name="facing" val="south"/> <a name="width" val="8"/> </comp> + <comp lib="4" loc="(190,170)" name="Register"/> + <comp lib="0" loc="(240,180)" name="Constant"/> <comp lib="2" loc="(160,80)" name="Decoder"> <a name="facing" val="south"/> <a name="select" val="2"/> <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(200,180)" name="Constant"/> - <comp lib="0" loc="(100,90)" name="Pin"> - <a name="width" val="2"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(140,230)" name="Pin"> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> <comp lib="0" loc="(310,260)" name="Constant"> <a name="facing" val="west"/> <a name="width" val="8"/> <a name="value" val="0x0"/> </comp> - <comp lib="4" loc="(190,170)" name="Register"/> + <comp lib="1" loc="(210,230)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> <comp lib="0" loc="(130,200)" name="Pin"> <a name="tristate" val="false"/> </comp> - <comp lib="1" loc="(270,260)" name="Controlled Buffer"> - <a name="facing" val="south"/> + <comp lib="0" loc="(140,130)" name="Pin"> <a name="width" val="8"/> + <a name="tristate" val="false"/> </comp> - <comp lib="4" loc="(270,170)" name="Register"/> - <comp lib="1" loc="(190,260)" name="Controlled Buffer"> - <a name="facing" val="south"/> + <comp lib="0" loc="(200,180)" name="Constant"/> + <comp lib="2" loc="(240,340)" name="Decoder"> + <a name="facing" val="north"/> + <a name="selloc" val="tr"/> + <a name="select" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(290,260)" name="Controlled Buffer"> + <a name="facing" val="west"/> <a name="width" val="8"/> </comp> - <comp lib="1" loc="(170,230)" name="Controlled Buffer"> - <a name="facing" val="south"/> + <comp lib="0" loc="(140,230)" name="Pin"> + <a name="output" val="true"/> <a name="width" val="8"/> + <a name="labelloc" val="east"/> </comp> - <comp lib="0" loc="(160,180)" name="Constant"/> - <comp lib="0" loc="(240,180)" name="Constant"/> - <comp lib="0" loc="(150,340)" name="Pin"> + <comp lib="0" loc="(100,90)" name="Pin"> <a name="width" val="2"/> <a name="tristate" val="false"/> </comp> - <comp lib="1" loc="(210,230)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="8"/> + <comp lib="2" loc="(130,80)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> <comp lib="0" loc="(240,340)" name="Pin"> <a name="facing" val="west"/> @@ -1466,42 +1472,46 @@ <a name="width" val="8"/> <a name="value" val="0x0"/> </comp> - <comp lib="2" loc="(240,340)" name="Decoder"> - <a name="facing" val="north"/> - <a name="selloc" val="tr"/> - <a name="select" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(100,70)" name="Constant"> - <a name="width" val="2"/> - <a name="value" val="0x0"/> + <comp lib="1" loc="(190,260)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> </comp> <comp lib="1" loc="(290,230)" name="Controlled Buffer"> <a name="facing" val="west"/> <a name="width" val="8"/> </comp> - <comp lib="0" loc="(110,60)" name="Pin"> + <comp lib="0" loc="(140,260)" name="Pin"> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(250,230)" name="Controlled Buffer"> <a name="facing" val="south"/> - <a name="tristate" val="false"/> + <a name="width" val="8"/> </comp> - <comp lib="0" loc="(140,130)" name="Pin"> + <comp lib="1" loc="(170,230)" name="Controlled Buffer"> + <a name="facing" val="south"/> <a name="width" val="8"/> - <a name="tristate" val="false"/> </comp> - <comp lib="2" loc="(130,80)" name="Multiplexer"> - <a name="selloc" val="tr"/> - <a name="width" val="2"/> + <comp lib="2" loc="(150,340)" name="Decoder"> + <a name="facing" val="north"/> + <a name="select" val="2"/> <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(290,260)" name="Controlled Buffer"> - <a name="facing" val="west"/> - <a name="width" val="8"/> + <comp lib="0" loc="(150,340)" name="Pin"> + <a name="width" val="2"/> + <a name="tristate" val="false"/> </comp> - <comp lib="0" loc="(140,260)" name="Pin"> - <a name="output" val="true"/> + <comp lib="1" loc="(270,260)" name="Controlled Buffer"> + <a name="facing" val="south"/> <a name="width" val="8"/> - <a name="labelloc" val="east"/> </comp> + <comp lib="0" loc="(160,180)" name="Constant"/> + <comp lib="0" loc="(110,60)" name="Pin"> + <a name="facing" val="south"/> + <a name="tristate" val="false"/> + </comp> + <comp lib="4" loc="(270,170)" name="Register"/> </circuit> <circuit name="ISD"> <a name="circuit" val="ISD"/> @@ -1514,247 +1524,178 @@ <circ-port height="8" pin="80,50" width="8" x="146" y="76"/> <circ-port height="8" pin="80,80" width="8" x="66" y="106"/> <circ-port height="8" pin="80,250" width="8" x="146" y="96"/> - <circ-port height="10" pin="430,1610" width="10" x="65" y="45"/> - <circ-port height="10" pin="610,1610" width="10" x="85" y="45"/> + <circ-port height="10" pin="440,1610" width="10" x="65" y="45"/> + <circ-port height="10" pin="620,1600" width="10" x="85" y="45"/> <circ-port height="10" pin="530,1830" width="10" x="45" y="95"/> - <circ-port height="10" pin="760,1610" width="10" x="45" y="65"/> - <circ-port height="10" pin="800,1610" width="10" x="45" y="55"/> + <circ-port height="10" pin="760,1580" width="10" x="45" y="65"/> + <circ-port height="10" pin="800,1580" width="10" x="45" y="55"/> <circ-port height="10" pin="840,1610" width="10" x="115" y="45"/> <circ-port height="10" pin="880,1610" width="10" x="105" y="45"/> <circ-port height="10" pin="920,1610" width="10" x="135" y="45"/> - <circ-port height="10" pin="960,1610" width="10" x="75" y="105"/> - <circ-port height="10" pin="740,1840" width="10" x="45" y="75"/> - <circ-port height="8" pin="640,1840" width="8" x="56" y="46"/> - <circ-port height="8" pin="190,1690" width="8" x="46" y="106"/> - <circ-port height="10" pin="330,1690" width="10" x="55" y="105"/> + <circ-port height="10" pin="1050,1460" width="10" x="75" y="105"/> + <circ-port height="10" pin="710,1840" width="10" x="45" y="75"/> + <circ-port height="8" pin="670,1840" width="8" x="56" y="46"/> + <circ-port height="8" pin="90,1640" width="8" x="46" y="106"/> + <circ-port height="10" pin="330,1680" width="10" x="55" y="105"/> <circ-port height="8" pin="440,1690" width="8" x="46" y="86"/> <circ-port height="10" pin="570,1690" width="10" x="145" y="65"/> - <circ-port height="10" pin="1210,1520" width="10" x="75" y="45"/> - <circ-port height="10" pin="1170,1370" width="10" x="45" y="45"/> + <circ-port height="10" pin="1260,1520" width="10" x="75" y="45"/> + <circ-port height="10" pin="1260,1490" width="10" x="45" y="45"/> + <circ-port height="10" pin="440,1870" width="10" x="145" y="85"/> <circ-anchor facing="east" height="6" width="6" x="47" y="57"/> </appear> - <wire from="(960,1600)" to="(960,1610)"/> <wire from="(190,580)" to="(250,580)"/> - <wire from="(420,1440)" to="(420,1580)"/> <wire from="(470,470)" to="(470,480)"/> <wire from="(170,960)" to="(280,960)"/> <wire from="(210,1360)" to="(210,1440)"/> + <wire from="(830,920)" to="(870,920)"/> <wire from="(830,830)" to="(830,850)"/> - <wire from="(200,390)" to="(200,480)"/> <wire from="(170,1320)" to="(170,1410)"/> - <wire from="(870,1190)" to="(870,1210)"/> + <wire from="(200,390)" to="(200,480)"/> <wire from="(240,430)" to="(240,520)"/> <wire from="(220,90)" to="(220,180)"/> <wire from="(240,970)" to="(280,970)"/> - <wire from="(850,1280)" to="(870,1280)"/> <wire from="(240,340)" to="(270,340)"/> - <wire from="(910,380)" to="(930,380)"/> + <wire from="(850,210)" to="(850,250)"/> <wire from="(180,1310)" to="(270,1310)"/> - <wire from="(1020,1140)" to="(1030,1140)"/> - <wire from="(440,240)" to="(450,240)"/> - <wire from="(480,600)" to="(490,600)"/> <wire from="(200,1020)" to="(280,1020)"/> - <wire from="(650,330)" to="(650,390)"/> - <wire from="(690,690)" to="(690,750)"/> - <wire from="(730,1050)" to="(730,1110)"/> - <wire from="(370,690)" to="(370,750)"/> - <wire from="(980,780)" to="(990,780)"/> - <wire from="(800,600)" to="(810,600)"/> - <wire from="(410,1050)" to="(410,1110)"/> + <wire from="(450,60)" to="(450,300)"/> + <wire from="(530,1750)" to="(660,1750)"/> + <wire from="(650,60)" to="(650,570)"/> <wire from="(920,1240)" to="(920,1290)"/> - <wire from="(760,240)" to="(770,240)"/> - <wire from="(520,960)" to="(530,960)"/> + <wire from="(1120,1550)" to="(1140,1550)"/> <wire from="(840,520)" to="(840,570)"/> <wire from="(880,880)" to="(880,930)"/> - <wire from="(560,1320)" to="(570,1320)"/> <wire from="(510,1100)" to="(630,1100)"/> <wire from="(510,1280)" to="(510,1290)"/> - <wire from="(930,420)" to="(930,430)"/> + <wire from="(610,1050)" to="(610,1380)"/> <wire from="(890,60)" to="(890,70)"/> <wire from="(210,730)" to="(270,730)"/> <wire from="(230,440)" to="(280,440)"/> - <wire from="(1090,1540)" to="(1090,1570)"/> + <wire from="(720,1680)" to="(720,1750)"/> <wire from="(170,1410)" to="(280,1410)"/> + <wire from="(930,1540)" to="(1030,1540)"/> <wire from="(180,500)" to="(180,590)"/> <wire from="(200,840)" to="(200,930)"/> - <wire from="(830,1280)" to="(830,1300)"/> <wire from="(220,540)" to="(220,630)"/> <wire from="(240,880)" to="(240,970)"/> - <wire from="(830,110)" to="(850,110)"/> - <wire from="(870,470)" to="(890,470)"/> - <wire from="(910,830)" to="(930,830)"/> - <wire from="(640,1840)" to="(660,1840)"/> <wire from="(510,1190)" to="(670,1190)"/> - <wire from="(1070,240)" to="(1070,300)"/> - <wire from="(400,330)" to="(410,330)"/> - <wire from="(440,690)" to="(450,690)"/> - <wire from="(480,1050)" to="(490,1050)"/> + <wire from="(340,1750)" to="(340,1790)"/> <wire from="(570,60)" to="(570,120)"/> - <wire from="(610,420)" to="(610,480)"/> - <wire from="(650,780)" to="(650,840)"/> <wire from="(690,1140)" to="(690,1200)"/> + <wire from="(920,210)" to="(930,210)"/> <wire from="(180,500)" to="(250,500)"/> - <wire from="(980,1230)" to="(990,1230)"/> - <wire from="(800,1050)" to="(810,1050)"/> - <wire from="(370,1140)" to="(370,1200)"/> - <wire from="(720,330)" to="(730,330)"/> - <wire from="(760,690)" to="(770,690)"/> <wire from="(840,970)" to="(840,1020)"/> <wire from="(880,1330)" to="(880,1380)"/> - <wire from="(500,1430)" to="(1130,1430)"/> <wire from="(930,870)" to="(930,880)"/> - <wire from="(850,150)" to="(850,160)"/> - <wire from="(890,510)" to="(890,520)"/> + <wire from="(710,740)" to="(1080,740)"/> + <wire from="(1140,1490)" to="(1180,1490)"/> <wire from="(230,890)" to="(280,890)"/> <wire from="(470,1370)" to="(470,1380)"/> <wire from="(350,290)" to="(350,300)"/> + <wire from="(910,1010)" to="(1010,1010)"/> + <wire from="(730,780)" to="(730,1380)"/> <wire from="(1180,1760)" to="(1180,1770)"/> <wire from="(180,950)" to="(180,1040)"/> <wire from="(220,990)" to="(220,1080)"/> <wire from="(200,1290)" to="(200,1380)"/> <wire from="(230,260)" to="(270,260)"/> - <wire from="(830,560)" to="(850,560)"/> - <wire from="(870,920)" to="(890,920)"/> - <wire from="(910,1280)" to="(930,1280)"/> - <wire from="(930,470)" to="(1080,470)"/> <wire from="(190,940)" to="(280,940)"/> + <wire from="(850,1110)" to="(850,1150)"/> <wire from="(940,1520)" to="(940,1560)"/> - <wire from="(660,1670)" to="(680,1670)"/> - <wire from="(1070,690)" to="(1070,750)"/> - <wire from="(930,1100)" to="(1090,1100)"/> - <wire from="(400,780)" to="(410,780)"/> - <wire from="(440,1140)" to="(450,1140)"/> - <wire from="(1030,330)" to="(1030,390)"/> - <wire from="(360,420)" to="(370,420)"/> - <wire from="(570,510)" to="(570,570)"/> - <wire from="(530,150)" to="(530,210)"/> - <wire from="(610,870)" to="(610,930)"/> - <wire from="(650,1230)" to="(650,1290)"/> <wire from="(920,340)" to="(930,340)"/> - <wire from="(760,1140)" to="(770,1140)"/> <wire from="(100,250)" to="(100,1780)"/> - <wire from="(680,420)" to="(690,420)"/> + <wire from="(880,300)" to="(890,300)"/> + <wire from="(970,560)" to="(970,1570)"/> <wire from="(720,780)" to="(730,780)"/> <wire from="(260,1670)" to="(330,1670)"/> <wire from="(640,60)" to="(650,60)"/> - <wire from="(930,1320)" to="(930,1330)"/> + <wire from="(930,1380)" to="(930,1520)"/> <wire from="(890,960)" to="(890,970)"/> <wire from="(850,600)" to="(850,610)"/> - <wire from="(740,1840)" to="(800,1840)"/> <wire from="(230,1340)" to="(280,1340)"/> - <wire from="(1050,1190)" to="(1110,1190)"/> + <wire from="(450,420)" to="(450,1580)"/> <wire from="(190,1540)" to="(190,1560)"/> <wire from="(190,130)" to="(190,220)"/> <wire from="(530,1750)" to="(530,1830)"/> + <wire from="(1150,1540)" to="(1150,1550)"/> <wire from="(230,170)" to="(230,260)"/> <wire from="(230,1580)" to="(230,1610)"/> <wire from="(210,370)" to="(250,370)"/> - <wire from="(890,110)" to="(910,110)"/> - <wire from="(830,1010)" to="(850,1010)"/> - <wire from="(870,1370)" to="(890,1370)"/> - <wire from="(930,920)" to="(1080,920)"/> <wire from="(220,1350)" to="(250,1350)"/> - <wire from="(1070,1140)" to="(1070,1200)"/> - <wire from="(400,1230)" to="(410,1230)"/> - <wire from="(810,240)" to="(810,300)"/> <wire from="(170,420)" to="(250,420)"/> - <wire from="(990,420)" to="(990,480)"/> - <wire from="(360,870)" to="(370,870)"/> <wire from="(190,760)" to="(270,760)"/> - <wire from="(1030,780)" to="(1030,840)"/> - <wire from="(530,600)" to="(530,660)"/> - <wire from="(610,1320)" to="(610,1380)"/> - <wire from="(570,960)" to="(570,1020)"/> - <wire from="(1160,1420)" to="(1160,1520)"/> + <wire from="(290,1760)" to="(300,1760)"/> <wire from="(920,790)" to="(930,790)"/> + <wire from="(920,1110)" to="(930,1110)"/> + <wire from="(690,1720)" to="(690,1770)"/> <wire from="(840,70)" to="(850,70)"/> + <wire from="(840,390)" to="(850,390)"/> <wire from="(880,430)" to="(890,430)"/> <wire from="(180,1400)" to="(180,1520)"/> - <wire from="(490,240)" to="(490,300)"/> - <wire from="(680,870)" to="(690,870)"/> - <wire from="(720,1230)" to="(730,1230)"/> - <wire from="(640,510)" to="(650,510)"/> - <wire from="(600,150)" to="(610,150)"/> + <wire from="(880,750)" to="(890,750)"/> + <wire from="(150,1690)" to="(150,1810)"/> <wire from="(670,1190)" to="(670,1200)"/> <wire from="(550,110)" to="(550,120)"/> <wire from="(850,1050)" to="(850,1060)"/> <wire from="(440,1680)" to="(490,1680)"/> <wire from="(200,480)" to="(250,480)"/> <wire from="(220,180)" to="(270,180)"/> - <wire from="(140,1800)" to="(1210,1800)"/> + <wire from="(440,1600)" to="(440,1610)"/> <wire from="(590,560)" to="(630,560)"/> - <wire from="(190,1670)" to="(190,1690)"/> <wire from="(170,240)" to="(170,330)"/> - <wire from="(870,110)" to="(870,130)"/> <wire from="(210,280)" to="(210,370)"/> <wire from="(230,620)" to="(230,710)"/> <wire from="(190,580)" to="(190,670)"/> - <wire from="(910,470)" to="(910,490)"/> - <wire from="(300,1570)" to="(980,1570)"/> - <wire from="(850,200)" to="(870,200)"/> - <wire from="(890,560)" to="(910,560)"/> - <wire from="(1200,1520)" to="(1210,1520)"/> - <wire from="(1060,420)" to="(1070,420)"/> - <wire from="(650,1590)" to="(680,1590)"/> - <wire from="(670,1100)" to="(830,1100)"/> - <wire from="(1030,1230)" to="(1030,1290)"/> - <wire from="(360,1320)" to="(370,1320)"/> - <wire from="(810,690)" to="(810,750)"/> - <wire from="(990,870)" to="(990,930)"/> - <wire from="(530,1050)" to="(530,1110)"/> + <wire from="(940,820)" to="(940,840)"/> + <wire from="(530,150)" to="(530,300)"/> + <wire from="(550,110)" to="(1080,110)"/> <wire from="(80,80)" to="(90,80)"/> - <wire from="(770,330)" to="(770,390)"/> <wire from="(920,1240)" to="(930,1240)"/> - <wire from="(1020,60)" to="(1030,60)"/> <wire from="(330,920)" to="(590,920)"/> <wire from="(190,1540)" to="(260,1540)"/> <wire from="(840,520)" to="(850,520)"/> <wire from="(450,330)" to="(450,390)"/> - <wire from="(490,690)" to="(490,750)"/> <wire from="(880,880)" to="(890,880)"/> - <wire from="(680,1320)" to="(690,1320)"/> - <wire from="(640,960)" to="(650,960)"/> + <wire from="(880,1200)" to="(890,1200)"/> <wire from="(920,160)" to="(920,210)"/> <wire from="(190,70)" to="(190,130)"/> - <wire from="(560,240)" to="(570,240)"/> <wire from="(600,600)" to="(610,600)"/> <wire from="(330,740)" to="(710,740)"/> <wire from="(270,1510)" to="(520,1510)"/> <wire from="(590,920)" to="(590,930)"/> + <wire from="(800,1380)" to="(800,1580)"/> + <wire from="(670,1700)" to="(670,1840)"/> <wire from="(220,1260)" to="(280,1260)"/> + <wire from="(1210,1540)" to="(1210,1560)"/> <wire from="(330,1190)" to="(510,1190)"/> <wire from="(590,1010)" to="(630,1010)"/> + <wire from="(670,1100)" to="(1030,1100)"/> + <wire from="(870,650)" to="(910,650)"/> <wire from="(170,690)" to="(170,780)"/> - <wire from="(830,200)" to="(830,220)"/> <wire from="(870,560)" to="(870,580)"/> <wire from="(190,1030)" to="(190,1120)"/> <wire from="(210,730)" to="(210,820)"/> + <wire from="(860,550)" to="(860,570)"/> <wire from="(910,920)" to="(910,940)"/> <wire from="(910,1560)" to="(910,1580)"/> + <wire from="(900,910)" to="(900,930)"/> <wire from="(230,1070)" to="(230,1160)"/> <wire from="(510,290)" to="(550,290)"/> - <wire from="(1210,1600)" to="(1210,1740)"/> - <wire from="(550,290)" to="(830,290)"/> - <wire from="(850,650)" to="(870,650)"/> - <wire from="(890,1010)" to="(910,1010)"/> - <wire from="(1060,870)" to="(1070,870)"/> + <wire from="(210,1690)" to="(210,1790)"/> + <wire from="(930,300)" to="(930,340)"/> + <wire from="(770,960)" to="(770,1380)"/> <wire from="(330,1620)" to="(330,1660)"/> - <wire from="(810,1140)" to="(810,1200)"/> - <wire from="(770,780)" to="(770,840)"/> - <wire from="(990,1320)" to="(990,1380)"/> + <wire from="(420,1830)" to="(430,1830)"/> + <wire from="(810,870)" to="(810,1380)"/> + <wire from="(510,1370)" to="(1220,1370)"/> + <wire from="(1110,1540)" to="(1140,1540)"/> <wire from="(170,1580)" to="(180,1580)"/> - <wire from="(690,60)" to="(690,120)"/> - <wire from="(730,420)" to="(730,480)"/> - <wire from="(980,150)" to="(990,150)"/> - <wire from="(370,60)" to="(370,120)"/> - <wire from="(1020,510)" to="(1030,510)"/> - <wire from="(410,420)" to="(410,480)"/> - <wire from="(450,780)" to="(450,840)"/> <wire from="(840,970)" to="(850,970)"/> <wire from="(880,1330)" to="(890,1330)"/> - <wire from="(490,1140)" to="(490,1200)"/> + <wire from="(840,1290)" to="(850,1290)"/> <wire from="(920,610)" to="(920,660)"/> - <wire from="(560,690)" to="(570,690)"/> + <wire from="(1130,1570)" to="(1150,1570)"/> <wire from="(520,330)" to="(530,330)"/> <wire from="(880,250)" to="(880,300)"/> <wire from="(600,1050)" to="(610,1050)"/> @@ -1769,338 +1710,227 @@ <wire from="(240,250)" to="(240,340)"/> <wire from="(870,1010)" to="(870,1030)"/> <wire from="(200,210)" to="(200,300)"/> - <wire from="(910,1370)" to="(910,1390)"/> - <wire from="(1050,1190)" to="(1050,1200)"/> + <wire from="(860,1000)" to="(860,1020)"/> <wire from="(120,80)" to="(120,1770)"/> <wire from="(240,790)" to="(280,790)"/> <wire from="(570,1670)" to="(570,1690)"/> <wire from="(470,380)" to="(510,380)"/> - <wire from="(850,1100)" to="(870,1100)"/> - <wire from="(910,200)" to="(930,200)"/> <wire from="(240,160)" to="(270,160)"/> - <wire from="(890,1410)" to="(890,1580)"/> - <wire from="(1160,1740)" to="(1170,1740)"/> <wire from="(180,1130)" to="(270,1130)"/> + <wire from="(890,390)" to="(890,430)"/> <wire from="(330,1660)" to="(490,1660)"/> - <wire from="(1060,1320)" to="(1070,1320)"/> + <wire from="(930,750)" to="(930,790)"/> <wire from="(440,60)" to="(450,60)"/> - <wire from="(770,1230)" to="(770,1290)"/> <wire from="(480,420)" to="(490,420)"/> <wire from="(200,840)" to="(280,840)"/> - <wire from="(650,150)" to="(650,210)"/> - <wire from="(690,510)" to="(690,570)"/> + <wire from="(150,1690)" to="(160,1690)"/> <wire from="(110,50)" to="(120,50)"/> - <wire from="(730,870)" to="(730,930)"/> - <wire from="(980,600)" to="(990,600)"/> - <wire from="(370,510)" to="(370,570)"/> <wire from="(210,1180)" to="(280,1180)"/> - <wire from="(1020,960)" to="(1030,960)"/> - <wire from="(800,420)" to="(810,420)"/> - <wire from="(410,870)" to="(410,930)"/> - <wire from="(450,1230)" to="(450,1290)"/> + <wire from="(800,1380)" to="(810,1380)"/> <wire from="(920,1060)" to="(920,1110)"/> <wire from="(760,60)" to="(770,60)"/> <wire from="(840,340)" to="(840,390)"/> - <wire from="(520,780)" to="(530,780)"/> - <wire from="(560,1140)" to="(570,1140)"/> <wire from="(880,700)" to="(880,750)"/> <wire from="(510,1100)" to="(510,1110)"/> - <wire from="(930,240)" to="(930,250)"/> - <wire from="(1010,1280)" to="(1010,1290)"/> + <wire from="(380,1670)" to="(380,1810)"/> + <wire from="(1140,1500)" to="(1180,1500)"/> <wire from="(430,380)" to="(430,390)"/> <wire from="(170,1230)" to="(280,1230)"/> <wire from="(790,830)" to="(830,830)"/> <wire from="(180,320)" to="(180,410)"/> <wire from="(220,360)" to="(220,450)"/> - <wire from="(830,1100)" to="(830,1120)"/> <wire from="(200,660)" to="(200,750)"/> <wire from="(240,700)" to="(240,790)"/> <wire from="(240,1240)" to="(280,1240)"/> <wire from="(170,600)" to="(270,600)"/> - <wire from="(870,290)" to="(890,290)"/> <wire from="(220,270)" to="(250,270)"/> - <wire from="(910,650)" to="(930,650)"/> - <wire from="(1140,1600)" to="(1210,1600)"/> - <wire from="(1070,60)" to="(1070,120)"/> - <wire from="(440,1410)" to="(440,1580)"/> - <wire from="(440,510)" to="(450,510)"/> - <wire from="(400,150)" to="(410,150)"/> - <wire from="(480,870)" to="(490,870)"/> + <wire from="(1140,1530)" to="(1150,1530)"/> + <wire from="(850,480)" to="(850,520)"/> + <wire from="(930,1200)" to="(930,1240)"/> <wire from="(250,1600)" to="(260,1600)"/> - <wire from="(610,240)" to="(610,300)"/> <wire from="(650,600)" to="(650,660)"/> - <wire from="(690,960)" to="(690,1020)"/> - <wire from="(730,1320)" to="(730,1380)"/> <wire from="(180,320)" to="(250,320)"/> - <wire from="(980,1050)" to="(990,1050)"/> - <wire from="(370,960)" to="(370,1020)"/> <wire from="(800,870)" to="(810,870)"/> - <wire from="(410,1320)" to="(410,1380)"/> - <wire from="(720,150)" to="(730,150)"/> - <wire from="(760,510)" to="(770,510)"/> + <wire from="(670,1700)" to="(680,1700)"/> <wire from="(520,1230)" to="(530,1230)"/> <wire from="(880,1150)" to="(880,1200)"/> <wire from="(840,790)" to="(840,840)"/> - <wire from="(760,1410)" to="(760,1610)"/> <wire from="(930,690)" to="(930,700)"/> <wire from="(210,1000)" to="(270,1000)"/> - <wire from="(890,330)" to="(890,340)"/> <wire from="(190,1300)" to="(250,1300)"/> <wire from="(230,710)" to="(280,710)"/> - <wire from="(1020,1410)" to="(1020,1730)"/> + <wire from="(200,1640)" to="(250,1640)"/> + <wire from="(1110,1510)" to="(1110,1540)"/> <wire from="(200,1110)" to="(200,1200)"/> <wire from="(180,770)" to="(180,860)"/> <wire from="(220,810)" to="(220,900)"/> <wire from="(230,80)" to="(270,80)"/> <wire from="(970,560)" to="(1080,560)"/> <wire from="(240,1150)" to="(240,1240)"/> - <wire from="(830,380)" to="(850,380)"/> - <wire from="(870,740)" to="(890,740)"/> - <wire from="(910,1100)" to="(930,1100)"/> - <wire from="(930,290)" to="(1080,290)"/> - <wire from="(1070,510)" to="(1070,570)"/> - <wire from="(400,600)" to="(410,600)"/> - <wire from="(440,960)" to="(450,960)"/> - <wire from="(480,1320)" to="(490,1320)"/> - <wire from="(360,240)" to="(370,240)"/> - <wire from="(1030,150)" to="(1030,210)"/> + <wire from="(1100,1580)" to="(1150,1580)"/> + <wire from="(890,1290)" to="(890,1330)"/> + <wire from="(230,1420)" to="(1200,1420)"/> <wire from="(570,330)" to="(570,390)"/> - <wire from="(610,690)" to="(610,750)"/> - <wire from="(1010,1280)" to="(1080,1280)"/> <wire from="(350,290)" to="(430,290)"/> + <wire from="(370,60)" to="(370,300)"/> <wire from="(650,1050)" to="(650,1110)"/> - <wire from="(930,1010)" to="(1130,1010)"/> <wire from="(920,160)" to="(930,160)"/> - <wire from="(800,1320)" to="(810,1320)"/> - <wire from="(680,240)" to="(690,240)"/> - <wire from="(720,600)" to="(730,600)"/> + <wire from="(920,480)" to="(930,480)"/> + <wire from="(880,120)" to="(890,120)"/> <wire from="(760,960)" to="(770,960)"/> <wire from="(840,1240)" to="(840,1290)"/> - <wire from="(710,740)" to="(830,740)"/> - <wire from="(930,1140)" to="(930,1150)"/> - <wire from="(850,420)" to="(850,430)"/> - <wire from="(890,780)" to="(890,790)"/> - <wire from="(510,1370)" to="(830,1370)"/> + <wire from="(620,1400)" to="(620,1600)"/> <wire from="(230,1160)" to="(280,1160)"/> - <wire from="(430,1600)" to="(430,1610)"/> + <wire from="(1040,1730)" to="(1140,1730)"/> <wire from="(180,1220)" to="(180,1310)"/> <wire from="(220,1260)" to="(220,1350)"/> + <wire from="(780,1690)" to="(780,1840)"/> <wire from="(700,1780)" to="(1190,1780)"/> - <wire from="(690,1770)" to="(1180,1770)"/> - <wire from="(830,830)" to="(850,830)"/> - <wire from="(870,1190)" to="(890,1190)"/> <wire from="(290,1450)" to="(830,1450)"/> <wire from="(90,80)" to="(120,80)"/> - <wire from="(930,740)" to="(1080,740)"/> - <wire from="(710,1670)" to="(730,1670)"/> - <wire from="(1070,960)" to="(1070,1020)"/> - <wire from="(720,1750)" to="(1130,1750)"/> + <wire from="(720,1680)" to="(740,1680)"/> + <wire from="(1030,1100)" to="(1030,1540)"/> + <wire from="(510,1280)" to="(990,1280)"/> <wire from="(1210,1740)" to="(1210,1800)"/> - <wire from="(810,60)" to="(810,120)"/> - <wire from="(400,1050)" to="(410,1050)"/> <wire from="(170,240)" to="(250,240)"/> - <wire from="(1030,600)" to="(1030,660)"/> - <wire from="(1100,1730)" to="(1130,1730)"/> - <wire from="(360,690)" to="(370,690)"/> - <wire from="(990,240)" to="(990,300)"/> <wire from="(530,420)" to="(530,480)"/> - <wire from="(570,780)" to="(570,840)"/> - <wire from="(610,1140)" to="(610,1200)"/> <wire from="(920,610)" to="(930,610)"/> + <wire from="(340,1790)" to="(1110,1790)"/> + <wire from="(840,210)" to="(850,210)"/> <wire from="(490,60)" to="(490,120)"/> <wire from="(880,250)" to="(890,250)"/> - <wire from="(680,690)" to="(690,690)"/> - <wire from="(720,1050)" to="(730,1050)"/> - <wire from="(640,330)" to="(650,330)"/> <wire from="(630,650)" to="(630,660)"/> <wire from="(180,70)" to="(180,140)"/> - <wire from="(890,1230)" to="(890,1240)"/> <wire from="(850,870)" to="(850,880)"/> + <wire from="(1100,1530)" to="(1140,1530)"/> <wire from="(200,300)" to="(250,300)"/> + <wire from="(1010,1010)" to="(1010,1650)"/> + <wire from="(910,830)" to="(1080,830)"/> <wire from="(190,400)" to="(190,490)"/> <wire from="(230,440)" to="(230,530)"/> - <wire from="(910,290)" to="(910,310)"/> <wire from="(210,100)" to="(210,190)"/> + <wire from="(940,640)" to="(940,660)"/> <wire from="(210,640)" to="(250,640)"/> + <wire from="(1050,1190)" to="(1050,1460)"/> <wire from="(180,680)" to="(280,680)"/> - <wire from="(830,1280)" to="(850,1280)"/> - <wire from="(890,380)" to="(910,380)"/> - <wire from="(1060,240)" to="(1070,240)"/> - <wire from="(1030,1050)" to="(1030,1110)"/> - <wire from="(810,510)" to="(810,570)"/> - <wire from="(360,1140)" to="(370,1140)"/> - <wire from="(1150,1590)" to="(1180,1590)"/> + <wire from="(620,1380)" to="(650,1380)"/> + <wire from="(770,60)" to="(770,930)"/> <wire from="(630,650)" to="(830,650)"/> - <wire from="(990,690)" to="(990,750)"/> - <wire from="(530,870)" to="(530,930)"/> - <wire from="(570,1230)" to="(570,1290)"/> - <wire from="(1130,1010)" to="(1130,1430)"/> - <wire from="(770,150)" to="(770,210)"/> + <wire from="(330,1750)" to="(340,1750)"/> <wire from="(920,1060)" to="(930,1060)"/> + <wire from="(920,1380)" to="(930,1380)"/> <wire from="(840,340)" to="(850,340)"/> - <wire from="(450,150)" to="(450,210)"/> - <wire from="(490,510)" to="(490,570)"/> <wire from="(880,700)" to="(890,700)"/> - <wire from="(640,780)" to="(650,780)"/> <wire from="(680,1140)" to="(690,1140)"/> <wire from="(560,60)" to="(570,60)"/> - <wire from="(600,420)" to="(610,420)"/> <wire from="(200,1500)" to="(200,1560)"/> <wire from="(630,1100)" to="(630,1110)"/> <wire from="(550,380)" to="(550,390)"/> - <wire from="(560,1410)" to="(560,1550)"/> - <wire from="(850,1320)" to="(850,1330)"/> <wire from="(220,1080)" to="(280,1080)"/> <wire from="(220,450)" to="(270,450)"/> <wire from="(170,510)" to="(170,600)"/> <wire from="(210,550)" to="(210,640)"/> - <wire from="(870,380)" to="(870,400)"/> <wire from="(190,850)" to="(190,940)"/> <wire from="(230,890)" to="(230,980)"/> - <wire from="(910,740)" to="(910,760)"/> + <wire from="(450,1750)" to="(450,1840)"/> <wire from="(510,110)" to="(550,110)"/> - <wire from="(550,110)" to="(830,110)"/> - <wire from="(890,830)" to="(910,830)"/> - <wire from="(850,470)" to="(870,470)"/> - <wire from="(1060,690)" to="(1070,690)"/> - <wire from="(1090,1100)" to="(1090,1540)"/> - <wire from="(920,1490)" to="(950,1490)"/> - <wire from="(770,1660)" to="(800,1660)"/> - <wire from="(810,960)" to="(810,1020)"/> + <wire from="(930,120)" to="(930,160)"/> + <wire from="(550,380)" to="(1080,380)"/> <wire from="(520,150)" to="(530,150)"/> <wire from="(330,1370)" to="(470,1370)"/> - <wire from="(990,1140)" to="(990,1200)"/> <wire from="(530,1320)" to="(530,1380)"/> - <wire from="(770,600)" to="(770,660)"/> - <wire from="(730,240)" to="(730,300)"/> <wire from="(930,1520)" to="(940,1520)"/> - <wire from="(1020,330)" to="(1030,330)"/> - <wire from="(410,240)" to="(410,300)"/> + <wire from="(610,690)" to="(610,930)"/> <wire from="(880,1150)" to="(890,1150)"/> - <wire from="(490,960)" to="(490,1020)"/> - <wire from="(450,600)" to="(450,660)"/> <wire from="(840,790)" to="(850,790)"/> + <wire from="(840,1110)" to="(850,1110)"/> <wire from="(920,430)" to="(920,480)"/> - <wire from="(640,1230)" to="(650,1230)"/> <wire from="(560,510)" to="(570,510)"/> <wire from="(880,70)" to="(880,120)"/> - <wire from="(600,870)" to="(610,870)"/> + <wire from="(850,1380)" to="(850,1580)"/> <wire from="(190,220)" to="(250,220)"/> + <wire from="(330,200)" to="(1150,200)"/> + <wire from="(1140,1510)" to="(1180,1510)"/> <wire from="(470,110)" to="(470,120)"/> <wire from="(200,1200)" to="(250,1200)"/> <wire from="(510,470)" to="(510,480)"/> <wire from="(220,900)" to="(270,900)"/> <wire from="(440,1680)" to="(440,1690)"/> - <wire from="(830,470)" to="(830,490)"/> + <wire from="(830,560)" to="(870,560)"/> + <wire from="(870,920)" to="(910,920)"/> <wire from="(870,830)" to="(870,850)"/> <wire from="(190,1300)" to="(190,1390)"/> <wire from="(170,960)" to="(170,1050)"/> <wire from="(210,1000)" to="(210,1090)"/> <wire from="(240,70)" to="(240,160)"/> + <wire from="(860,820)" to="(860,840)"/> <wire from="(270,1550)" to="(560,1550)"/> - <wire from="(910,1190)" to="(910,1210)"/> <wire from="(240,610)" to="(280,610)"/> - <wire from="(850,920)" to="(870,920)"/> - <wire from="(890,1280)" to="(910,1280)"/> + <wire from="(410,1430)" to="(440,1430)"/> <wire from="(180,950)" to="(270,950)"/> - <wire from="(1060,1140)" to="(1070,1140)"/> - <wire from="(770,1050)" to="(770,1110)"/> - <wire from="(480,240)" to="(490,240)"/> + <wire from="(890,210)" to="(890,250)"/> + <wire from="(1220,1370)" to="(1220,1490)"/> + <wire from="(1180,1560)" to="(1210,1560)"/> <wire from="(180,1540)" to="(190,1540)"/> - <wire from="(690,330)" to="(690,390)"/> - <wire from="(730,690)" to="(730,750)"/> - <wire from="(1020,780)" to="(1030,780)"/> - <wire from="(370,330)" to="(370,390)"/> - <wire from="(980,420)" to="(990,420)"/> - <wire from="(410,690)" to="(410,750)"/> - <wire from="(800,240)" to="(810,240)"/> + <wire from="(1200,1480)" to="(1200,1520)"/> <wire from="(840,1240)" to="(850,1240)"/> - <wire from="(450,1050)" to="(450,1110)"/> <wire from="(920,880)" to="(920,930)"/> - <wire from="(560,960)" to="(570,960)"/> - <wire from="(520,600)" to="(530,600)"/> <wire from="(840,160)" to="(840,210)"/> <wire from="(880,520)" to="(880,570)"/> - <wire from="(600,1320)" to="(610,1320)"/> <wire from="(930,60)" to="(930,70)"/> <wire from="(190,670)" to="(250,670)"/> <wire from="(250,1620)" to="(250,1640)"/> <wire from="(170,1050)" to="(280,1050)"/> + <wire from="(830,1010)" to="(870,1010)"/> <wire from="(180,140)" to="(180,230)"/> - <wire from="(360,1410)" to="(360,1440)"/> - <wire from="(870,1280)" to="(870,1300)"/> <wire from="(830,920)" to="(830,940)"/> <wire from="(240,520)" to="(240,610)"/> <wire from="(200,480)" to="(200,570)"/> <wire from="(220,180)" to="(220,270)"/> + <wire from="(150,1810)" to="(380,1810)"/> <wire from="(240,1060)" to="(280,1060)"/> <wire from="(430,290)" to="(470,290)"/> <wire from="(220,1460)" to="(220,1560)"/> <wire from="(220,90)" to="(250,90)"/> - <wire from="(870,110)" to="(890,110)"/> - <wire from="(850,1370)" to="(870,1370)"/> <wire from="(240,430)" to="(270,430)"/> - <wire from="(910,470)" to="(930,470)"/> - <wire from="(1020,1230)" to="(1030,1230)"/> + <wire from="(850,300)" to="(850,340)"/> <wire from="(170,1410)" to="(170,1520)"/> - <wire from="(1110,1190)" to="(1110,1570)"/> <wire from="(440,330)" to="(450,330)"/> - <wire from="(480,690)" to="(490,690)"/> - <wire from="(610,60)" to="(610,120)"/> - <wire from="(650,420)" to="(650,480)"/> - <wire from="(690,780)" to="(690,840)"/> - <wire from="(730,1140)" to="(730,1200)"/> <wire from="(180,140)" to="(250,140)"/> - <wire from="(370,780)" to="(370,840)"/> - <wire from="(980,870)" to="(990,870)"/> - <wire from="(410,1140)" to="(410,1200)"/> - <wire from="(800,690)" to="(810,690)"/> + <wire from="(660,1380)" to="(660,1750)"/> <wire from="(920,1330)" to="(920,1380)"/> - <wire from="(760,330)" to="(770,330)"/> - <wire from="(520,1050)" to="(530,1050)"/> <wire from="(880,970)" to="(880,1020)"/> <wire from="(840,610)" to="(840,660)"/> <wire from="(510,1370)" to="(510,1380)"/> <wire from="(870,1490)" to="(920,1490)"/> - <wire from="(930,510)" to="(930,520)"/> <wire from="(210,820)" to="(270,820)"/> <wire from="(190,1120)" to="(250,1120)"/> - <wire from="(890,150)" to="(890,160)"/> + <wire from="(910,560)" to="(970,560)"/> <wire from="(230,530)" to="(280,530)"/> + <wire from="(370,330)" to="(370,480)"/> + <wire from="(720,1750)" to="(1140,1750)"/> <wire from="(200,930)" to="(200,1020)"/> - <wire from="(830,1370)" to="(830,1390)"/> <wire from="(180,590)" to="(180,680)"/> <wire from="(220,630)" to="(220,720)"/> <wire from="(240,970)" to="(240,1060)"/> <wire from="(390,380)" to="(430,380)"/> - <wire from="(830,200)" to="(850,200)"/> <wire from="(220,540)" to="(250,540)"/> - <wire from="(870,560)" to="(890,560)"/> - <wire from="(910,920)" to="(930,920)"/> - <wire from="(930,110)" to="(1080,110)"/> - <wire from="(1070,330)" to="(1070,390)"/> + <wire from="(850,750)" to="(850,790)"/> + <wire from="(890,1110)" to="(890,1150)"/> + <wire from="(720,1380)" to="(720,1680)"/> + <wire from="(1200,1420)" to="(1200,1480)"/> <wire from="(400,420)" to="(410,420)"/> - <wire from="(440,780)" to="(450,780)"/> - <wire from="(480,1140)" to="(490,1140)"/> <wire from="(360,60)" to="(370,60)"/> <wire from="(320,1620)" to="(330,1620)"/> - <wire from="(570,150)" to="(570,210)"/> - <wire from="(610,510)" to="(610,570)"/> + <wire from="(1050,1460)" to="(1050,1560)"/> <wire from="(200,1500)" to="(210,1500)"/> - <wire from="(650,870)" to="(650,930)"/> - <wire from="(690,1230)" to="(690,1290)"/> - <wire from="(980,1320)" to="(990,1320)"/> + <wire from="(920,300)" to="(930,300)"/> <wire from="(200,930)" to="(270,930)"/> - <wire from="(800,1140)" to="(810,1140)"/> - <wire from="(370,1230)" to="(370,1290)"/> <wire from="(680,60)" to="(690,60)"/> - <wire from="(720,420)" to="(730,420)"/> - <wire from="(760,780)" to="(770,780)"/> + <wire from="(720,1380)" to="(730,1380)"/> <wire from="(840,1060)" to="(840,1110)"/> - <wire from="(610,1600)" to="(610,1610)"/> <wire from="(710,740)" to="(710,750)"/> <wire from="(930,960)" to="(930,970)"/> - <wire from="(850,240)" to="(850,250)"/> <wire from="(890,600)" to="(890,610)"/> <wire from="(170,1550)" to="(230,1550)"/> <wire from="(240,1630)" to="(290,1630)"/> @@ -2108,379 +1938,246 @@ <wire from="(170,70)" to="(170,150)"/> <wire from="(220,1080)" to="(220,1170)"/> <wire from="(180,1040)" to="(180,1130)"/> - <wire from="(830,650)" to="(850,650)"/> - <wire from="(870,1010)" to="(890,1010)"/> - <wire from="(910,1370)" to="(930,1370)"/> + <wire from="(890,1560)" to="(890,1580)"/> <wire from="(190,1030)" to="(280,1030)"/> + <wire from="(850,1200)" to="(850,1240)"/> <wire from="(200,1380)" to="(200,1480)"/> <wire from="(330,470)" to="(350,470)"/> - <wire from="(1070,780)" to="(1070,840)"/> - <wire from="(400,870)" to="(410,870)"/> - <wire from="(440,1230)" to="(450,1230)"/> - <wire from="(990,60)" to="(990,120)"/> - <wire from="(1030,420)" to="(1030,480)"/> + <wire from="(570,510)" to="(570,1380)"/> <wire from="(360,510)" to="(370,510)"/> - <wire from="(570,600)" to="(570,660)"/> - <wire from="(530,240)" to="(530,300)"/> <wire from="(610,960)" to="(610,1020)"/> - <wire from="(650,1320)" to="(650,1380)"/> <wire from="(920,430)" to="(930,430)"/> - <wire from="(1180,1590)" to="(1180,1760)"/> - <wire from="(760,1230)" to="(770,1230)"/> + <wire from="(920,750)" to="(930,750)"/> <wire from="(880,70)" to="(890,70)"/> - <wire from="(680,510)" to="(690,510)"/> - <wire from="(720,870)" to="(730,870)"/> - <wire from="(680,1410)" to="(680,1590)"/> - <wire from="(640,150)" to="(650,150)"/> - <wire from="(830,1450)" to="(950,1450)"/> + <wire from="(880,390)" to="(890,390)"/> <wire from="(890,1050)" to="(890,1060)"/> <wire from="(850,690)" to="(850,700)"/> <wire from="(230,70)" to="(230,80)"/> <wire from="(200,120)" to="(250,120)"/> <wire from="(120,1770)" to="(300,1770)"/> - <wire from="(700,1690)" to="(700,1780)"/> - <wire from="(960,1600)" to="(1060,1600)"/> + <wire from="(200,1640)" to="(200,1660)"/> <wire from="(190,220)" to="(190,310)"/> - <wire from="(910,110)" to="(910,130)"/> + <wire from="(910,650)" to="(1080,650)"/> <wire from="(230,260)" to="(230,350)"/> - <wire from="(930,1370)" to="(1170,1370)"/> - <wire from="(830,1100)" to="(850,1100)"/> - <wire from="(890,200)" to="(910,200)"/> - <wire from="(1060,60)" to="(1070,60)"/> + <wire from="(690,1230)" to="(690,1380)"/> + <wire from="(570,150)" to="(570,300)"/> <wire from="(100,1780)" to="(250,1780)"/> - <wire from="(1070,1230)" to="(1070,1290)"/> - <wire from="(1030,870)" to="(1030,930)"/> - <wire from="(810,330)" to="(810,390)"/> - <wire from="(400,1320)" to="(410,1320)"/> <wire from="(170,510)" to="(250,510)"/> - <wire from="(360,960)" to="(370,960)"/> - <wire from="(990,510)" to="(990,570)"/> <wire from="(190,850)" to="(270,850)"/> - <wire from="(530,690)" to="(530,750)"/> - <wire from="(570,1050)" to="(570,1110)"/> + <wire from="(450,1750)" to="(530,1750)"/> <wire from="(920,880)" to="(930,880)"/> - <wire from="(800,1660)" to="(800,1840)"/> + <wire from="(920,1200)" to="(930,1200)"/> <wire from="(290,1490)" to="(870,1490)"/> <wire from="(330,560)" to="(590,560)"/> <wire from="(840,160)" to="(850,160)"/> + <wire from="(840,480)" to="(850,480)"/> + <wire from="(770,1690)" to="(780,1690)"/> <wire from="(880,520)" to="(890,520)"/> <wire from="(490,330)" to="(490,390)"/> - <wire from="(680,960)" to="(690,960)"/> - <wire from="(720,1320)" to="(730,1320)"/> <wire from="(640,600)" to="(650,600)"/> - <wire from="(600,240)" to="(610,240)"/> <wire from="(590,560)" to="(590,570)"/> - <wire from="(850,1140)" to="(850,1150)"/> - <wire from="(330,200)" to="(830,200)"/> + <wire from="(690,1770)" to="(1130,1770)"/> + <wire from="(1140,1520)" to="(1180,1520)"/> <wire from="(200,570)" to="(250,570)"/> <wire from="(590,650)" to="(630,650)"/> + <wire from="(440,1430)" to="(440,1580)"/> <wire from="(170,330)" to="(170,420)"/> <wire from="(210,370)" to="(210,460)"/> <wire from="(230,710)" to="(230,800)"/> <wire from="(190,670)" to="(190,760)"/> - <wire from="(870,200)" to="(870,220)"/> + <wire from="(900,550)" to="(900,570)"/> <wire from="(910,560)" to="(910,580)"/> + <wire from="(940,910)" to="(940,930)"/> <wire from="(250,1690)" to="(250,1780)"/> - <wire from="(930,1410)" to="(930,1520)"/> - <wire from="(890,650)" to="(910,650)"/> - <wire from="(850,290)" to="(870,290)"/> - <wire from="(290,1730)" to="(1020,1730)"/> - <wire from="(400,1430)" to="(430,1430)"/> - <wire from="(1060,510)" to="(1070,510)"/> - <wire from="(670,1190)" to="(830,1190)"/> - <wire from="(1030,1320)" to="(1030,1380)"/> - <wire from="(1060,1410)" to="(1060,1600)"/> - <wire from="(810,780)" to="(810,840)"/> <wire from="(250,1620)" to="(260,1620)"/> - <wire from="(990,960)" to="(990,1020)"/> <wire from="(530,1140)" to="(530,1200)"/> - <wire from="(730,60)" to="(730,120)"/> - <wire from="(770,420)" to="(770,480)"/> <wire from="(920,1330)" to="(930,1330)"/> <wire from="(330,1010)" to="(590,1010)"/> - <wire from="(1020,150)" to="(1030,150)"/> - <wire from="(410,60)" to="(410,120)"/> <wire from="(880,970)" to="(890,970)"/> - <wire from="(490,780)" to="(490,840)"/> - <wire from="(450,420)" to="(450,480)"/> <wire from="(840,610)" to="(850,610)"/> <wire from="(480,1410)" to="(480,1470)"/> + <wire from="(880,1290)" to="(890,1290)"/> <wire from="(920,250)" to="(920,300)"/> <wire from="(640,1050)" to="(650,1050)"/> <wire from="(560,330)" to="(570,330)"/> <wire from="(600,690)" to="(610,690)"/> <wire from="(590,1010)" to="(590,1020)"/> - <wire from="(1150,1570)" to="(1150,1590)"/> - <wire from="(190,1640)" to="(250,1640)"/> <wire from="(330,1280)" to="(510,1280)"/> <wire from="(510,290)" to="(510,300)"/> <wire from="(220,720)" to="(270,720)"/> - <wire from="(1190,1540)" to="(1190,1760)"/> <wire from="(170,780)" to="(170,870)"/> - <wire from="(830,290)" to="(830,310)"/> <wire from="(870,650)" to="(870,670)"/> <wire from="(190,1120)" to="(190,1210)"/> <wire from="(210,820)" to="(210,910)"/> + <wire from="(860,640)" to="(860,660)"/> <wire from="(910,1010)" to="(910,1030)"/> + <wire from="(900,1000)" to="(900,1020)"/> <wire from="(210,1360)" to="(250,1360)"/> <wire from="(170,1550)" to="(170,1580)"/> <wire from="(230,1160)" to="(230,1250)"/> <wire from="(510,380)" to="(550,380)"/> <wire from="(180,1400)" to="(280,1400)"/> - <wire from="(550,380)" to="(830,380)"/> - <wire from="(850,740)" to="(870,740)"/> - <wire from="(890,1100)" to="(910,1100)"/> - <wire from="(610,1410)" to="(610,1580)"/> <wire from="(1200,1740)" to="(1210,1740)"/> <wire from="(180,770)" to="(270,770)"/> - <wire from="(1060,960)" to="(1070,960)"/> + <wire from="(930,390)" to="(930,430)"/> <wire from="(590,920)" to="(750,920)"/> - <wire from="(720,1650)" to="(720,1750)"/> - <wire from="(810,1230)" to="(810,1290)"/> - <wire from="(770,870)" to="(770,930)"/> <wire from="(480,60)" to="(490,60)"/> - <wire from="(490,1230)" to="(490,1290)"/> - <wire from="(690,150)" to="(690,210)"/> - <wire from="(730,510)" to="(730,570)"/> - <wire from="(980,240)" to="(990,240)"/> - <wire from="(1020,600)" to="(1030,600)"/> - <wire from="(370,150)" to="(370,210)"/> <wire from="(800,60)" to="(810,60)"/> - <wire from="(410,510)" to="(410,570)"/> - <wire from="(1140,1560)" to="(1140,1600)"/> - <wire from="(450,870)" to="(450,930)"/> <wire from="(840,1060)" to="(850,1060)"/> + <wire from="(840,1380)" to="(850,1380)"/> <wire from="(920,700)" to="(920,750)"/> - <wire from="(560,780)" to="(570,780)"/> <wire from="(520,420)" to="(530,420)"/> <wire from="(880,340)" to="(880,390)"/> - <wire from="(600,1140)" to="(610,1140)"/> - <wire from="(970,560)" to="(970,570)"/> <wire from="(190,490)" to="(250,490)"/> <wire from="(210,190)" to="(270,190)"/> <wire from="(470,380)" to="(470,390)"/> <wire from="(220,1170)" to="(270,1170)"/> <wire from="(170,870)" to="(280,870)"/> - <wire from="(830,740)" to="(830,760)"/> + <wire from="(830,830)" to="(870,830)"/> <wire from="(170,1230)" to="(170,1320)"/> <wire from="(200,300)" to="(200,390)"/> <wire from="(240,340)" to="(240,430)"/> <wire from="(210,1270)" to="(210,1360)"/> - <wire from="(870,1100)" to="(870,1120)"/> <wire from="(290,1530)" to="(900,1530)"/> <wire from="(240,880)" to="(280,880)"/> <wire from="(470,470)" to="(510,470)"/> - <wire from="(910,290)" to="(930,290)"/> - <wire from="(850,1190)" to="(870,1190)"/> + <wire from="(850,120)" to="(850,160)"/> <wire from="(180,1220)" to="(270,1220)"/> - <wire from="(770,1320)" to="(770,1380)"/> - <wire from="(440,150)" to="(450,150)"/> + <wire from="(890,480)" to="(890,520)"/> <wire from="(480,510)" to="(490,510)"/> - <wire from="(650,240)" to="(650,300)"/> <wire from="(100,70)" to="(100,250)"/> - <wire from="(690,600)" to="(690,660)"/> - <wire from="(730,960)" to="(730,1020)"/> - <wire from="(980,690)" to="(990,690)"/> - <wire from="(1020,1050)" to="(1030,1050)"/> <wire from="(210,1270)" to="(280,1270)"/> - <wire from="(370,600)" to="(370,660)"/> - <wire from="(800,510)" to="(810,510)"/> - <wire from="(410,960)" to="(410,1020)"/> - <wire from="(450,1320)" to="(450,1380)"/> <wire from="(920,1150)" to="(920,1200)"/> - <wire from="(760,150)" to="(770,150)"/> - <wire from="(520,870)" to="(530,870)"/> <wire from="(840,430)" to="(840,480)"/> <wire from="(880,790)" to="(880,840)"/> - <wire from="(560,1230)" to="(570,1230)"/> <wire from="(510,1190)" to="(510,1200)"/> - <wire from="(930,330)" to="(930,340)"/> - <wire from="(800,1410)" to="(800,1610)"/> + <wire from="(370,1440)" to="(430,1440)"/> <wire from="(790,830)" to="(790,840)"/> - <wire from="(1180,1540)" to="(1180,1560)"/> <wire from="(230,350)" to="(280,350)"/> <wire from="(170,1320)" to="(280,1320)"/> - <wire from="(500,1430)" to="(500,1650)"/> <wire from="(180,410)" to="(180,500)"/> <wire from="(220,450)" to="(220,540)"/> - <wire from="(830,1190)" to="(830,1210)"/> <wire from="(200,750)" to="(200,840)"/> <wire from="(240,1330)" to="(280,1330)"/> <wire from="(240,790)" to="(240,880)"/> <wire from="(170,690)" to="(270,690)"/> - <wire from="(870,380)" to="(890,380)"/> <wire from="(220,360)" to="(250,360)"/> - <wire from="(910,740)" to="(930,740)"/> - <wire from="(1070,150)" to="(1070,210)"/> - <wire from="(440,600)" to="(450,600)"/> - <wire from="(400,240)" to="(410,240)"/> - <wire from="(480,960)" to="(490,960)"/> - <wire from="(610,330)" to="(610,390)"/> - <wire from="(650,690)" to="(650,750)"/> - <wire from="(690,1050)" to="(690,1110)"/> + <wire from="(930,1290)" to="(930,1330)"/> + <wire from="(370,510)" to="(370,1440)"/> + <wire from="(410,420)" to="(410,1430)"/> + <wire from="(610,60)" to="(610,570)"/> <wire from="(180,410)" to="(250,410)"/> <wire from="(200,750)" to="(270,750)"/> - <wire from="(980,1140)" to="(990,1140)"/> - <wire from="(370,1050)" to="(370,1110)"/> - <wire from="(800,960)" to="(810,960)"/> - <wire from="(760,600)" to="(770,600)"/> - <wire from="(720,240)" to="(730,240)"/> + <wire from="(210,1790)" to="(340,1790)"/> + <wire from="(920,120)" to="(930,120)"/> <wire from="(520,1320)" to="(530,1320)"/> <wire from="(880,1240)" to="(880,1290)"/> <wire from="(840,880)" to="(840,930)"/> + <wire from="(810,60)" to="(810,840)"/> <wire from="(750,920)" to="(750,930)"/> - <wire from="(930,780)" to="(930,790)"/> <wire from="(850,60)" to="(850,70)"/> <wire from="(230,1420)" to="(230,1550)"/> - <wire from="(890,420)" to="(890,430)"/> <wire from="(210,1090)" to="(270,1090)"/> <wire from="(190,1390)" to="(250,1390)"/> <wire from="(250,100)" to="(250,110)"/> <wire from="(230,800)" to="(280,800)"/> - <wire from="(300,1750)" to="(300,1770)"/> + <wire from="(690,60)" to="(690,1110)"/> <wire from="(220,70)" to="(220,90)"/> <wire from="(200,1200)" to="(200,1290)"/> <wire from="(180,860)" to="(180,950)"/> <wire from="(220,900)" to="(220,990)"/> - <wire from="(230,1420)" to="(1160,1420)"/> - <wire from="(690,1690)" to="(690,1770)"/> <wire from="(230,170)" to="(270,170)"/> - <wire from="(190,1640)" to="(190,1670)"/> + <wire from="(1040,1590)" to="(1040,1730)"/> <wire from="(240,1240)" to="(240,1330)"/> <wire from="(470,1370)" to="(510,1370)"/> - <wire from="(830,470)" to="(850,470)"/> - <wire from="(870,830)" to="(890,830)"/> - <wire from="(910,1190)" to="(930,1190)"/> - <wire from="(930,380)" to="(1080,380)"/> <wire from="(330,290)" to="(350,290)"/> - <wire from="(1070,600)" to="(1070,660)"/> - <wire from="(400,690)" to="(410,690)"/> <wire from="(330,110)" to="(470,110)"/> - <wire from="(440,1050)" to="(450,1050)"/> <wire from="(360,330)" to="(370,330)"/> - <wire from="(1030,240)" to="(1030,300)"/> <wire from="(530,60)" to="(530,120)"/> <wire from="(570,420)" to="(570,480)"/> - <wire from="(610,780)" to="(610,840)"/> - <wire from="(650,1140)" to="(650,1200)"/> - <wire from="(240,1690)" to="(240,1740)"/> <wire from="(920,250)" to="(930,250)"/> - <wire from="(760,1050)" to="(770,1050)"/> - <wire from="(680,330)" to="(690,330)"/> - <wire from="(720,690)" to="(730,690)"/> - <wire from="(930,1280)" to="(1010,1280)"/> + <wire from="(240,1690)" to="(240,1750)"/> + <wire from="(880,210)" to="(890,210)"/> <wire from="(840,1330)" to="(840,1380)"/> <wire from="(330,380)" to="(390,380)"/> - <wire from="(930,1230)" to="(930,1240)"/> - <wire from="(850,510)" to="(850,520)"/> <wire from="(890,870)" to="(890,880)"/> <wire from="(230,1250)" to="(280,1250)"/> + <wire from="(500,1650)" to="(1010,1650)"/> <wire from="(90,70)" to="(90,80)"/> + <wire from="(330,1670)" to="(380,1670)"/> + <wire from="(410,60)" to="(410,390)"/> <wire from="(350,470)" to="(470,470)"/> - <wire from="(430,1430)" to="(430,1580)"/> <wire from="(180,1310)" to="(180,1400)"/> <wire from="(220,1350)" to="(220,1440)"/> <wire from="(230,80)" to="(230,170)"/> <wire from="(210,280)" to="(250,280)"/> - <wire from="(870,1280)" to="(890,1280)"/> - <wire from="(830,920)" to="(850,920)"/> - <wire from="(930,830)" to="(1080,830)"/> - <wire from="(1070,1050)" to="(1070,1110)"/> - <wire from="(400,1140)" to="(410,1140)"/> - <wire from="(810,150)" to="(810,210)"/> + <wire from="(660,1380)" to="(690,1380)"/> + <wire from="(710,1840)" to="(780,1840)"/> <wire from="(170,330)" to="(250,330)"/> - <wire from="(1030,690)" to="(1030,750)"/> - <wire from="(990,330)" to="(990,390)"/> - <wire from="(360,780)" to="(370,780)"/> - <wire from="(530,510)" to="(530,570)"/> - <wire from="(570,870)" to="(570,930)"/> - <wire from="(610,1230)" to="(610,1290)"/> <wire from="(920,700)" to="(930,700)"/> <wire from="(250,1780)" to="(700,1780)"/> + <wire from="(840,300)" to="(850,300)"/> <wire from="(880,340)" to="(890,340)"/> - <wire from="(490,150)" to="(490,210)"/> - <wire from="(680,780)" to="(690,780)"/> - <wire from="(720,1140)" to="(730,1140)"/> <wire from="(600,60)" to="(610,60)"/> - <wire from="(640,420)" to="(650,420)"/> <wire from="(670,1100)" to="(670,1110)"/> - <wire from="(890,1320)" to="(890,1330)"/> <wire from="(520,1670)" to="(570,1670)"/> <wire from="(850,960)" to="(850,970)"/> + <wire from="(890,1380)" to="(890,1510)"/> <wire from="(200,390)" to="(250,390)"/> <wire from="(870,1490)" to="(870,1580)"/> <wire from="(170,150)" to="(170,240)"/> + <wire from="(910,920)" to="(1080,920)"/> <wire from="(230,530)" to="(230,620)"/> <wire from="(190,490)" to="(190,580)"/> - <wire from="(910,380)" to="(910,400)"/> <wire from="(210,190)" to="(210,280)"/> - <wire from="(190,1670)" to="(230,1670)"/> - <wire from="(850,110)" to="(870,110)"/> - <wire from="(830,1370)" to="(850,1370)"/> - <wire from="(890,470)" to="(910,470)"/> - <wire from="(600,1410)" to="(600,1580)"/> - <wire from="(1060,330)" to="(1070,330)"/> - <wire from="(240,1740)" to="(260,1740)"/> - <wire from="(1030,1140)" to="(1030,1200)"/> - <wire from="(360,1230)" to="(370,1230)"/> - <wire from="(810,600)" to="(810,660)"/> - <wire from="(990,780)" to="(990,840)"/> - <wire from="(530,960)" to="(530,1020)"/> - <wire from="(570,1320)" to="(570,1380)"/> - <wire from="(770,240)" to="(770,300)"/> + <wire from="(490,510)" to="(490,1380)"/> + <wire from="(1100,1530)" to="(1100,1580)"/> <wire from="(920,1150)" to="(930,1150)"/> <wire from="(840,430)" to="(850,430)"/> - <wire from="(450,240)" to="(450,300)"/> <wire from="(880,790)" to="(890,790)"/> - <wire from="(490,600)" to="(490,660)"/> - <wire from="(640,870)" to="(650,870)"/> + <wire from="(840,750)" to="(850,750)"/> + <wire from="(880,1110)" to="(890,1110)"/> <wire from="(680,1230)" to="(690,1230)"/> <wire from="(560,150)" to="(570,150)"/> <wire from="(920,70)" to="(920,120)"/> - <wire from="(600,510)" to="(610,510)"/> <wire from="(140,70)" to="(140,1800)"/> <wire from="(550,470)" to="(550,480)"/> <wire from="(330,1100)" to="(510,1100)"/> <wire from="(120,40)" to="(120,50)"/> <wire from="(510,110)" to="(510,120)"/> + <wire from="(990,1280)" to="(990,1730)"/> <wire from="(830,1450)" to="(830,1580)"/> - <wire from="(830,110)" to="(830,130)"/> + <wire from="(490,150)" to="(490,300)"/> + <wire from="(870,560)" to="(910,560)"/> <wire from="(170,600)" to="(170,690)"/> <wire from="(210,640)" to="(210,730)"/> <wire from="(190,940)" to="(190,1030)"/> <wire from="(230,980)" to="(230,1070)"/> - <wire from="(870,470)" to="(870,490)"/> <wire from="(910,830)" to="(910,850)"/> + <wire from="(900,820)" to="(900,840)"/> <wire from="(240,250)" to="(280,250)"/> - <wire from="(890,920)" to="(910,920)"/> - <wire from="(850,560)" to="(870,560)"/> - <wire from="(1160,1520)" to="(1170,1520)"/> + <wire from="(1130,1770)" to="(1180,1770)"/> <wire from="(180,590)" to="(270,590)"/> - <wire from="(1060,780)" to="(1070,780)"/> - <wire from="(650,1590)" to="(650,1750)"/> - <wire from="(810,1050)" to="(810,1110)"/> + <wire from="(930,210)" to="(930,250)"/> + <wire from="(550,470)" to="(1080,470)"/> + <wire from="(710,1700)" to="(730,1700)"/> + <wire from="(140,1800)" to="(1120,1800)"/> <wire from="(330,830)" to="(790,830)"/> - <wire from="(520,240)" to="(530,240)"/> - <wire from="(990,1230)" to="(990,1290)"/> - <wire from="(770,690)" to="(770,750)"/> - <wire from="(730,330)" to="(730,390)"/> - <wire from="(980,60)" to="(990,60)"/> - <wire from="(1020,420)" to="(1030,420)"/> - <wire from="(410,330)" to="(410,390)"/> + <wire from="(880,1560)" to="(890,1560)"/> + <wire from="(650,1140)" to="(650,1380)"/> <wire from="(880,1240)" to="(890,1240)"/> - <wire from="(490,1050)" to="(490,1110)"/> <wire from="(840,880)" to="(850,880)"/> - <wire from="(450,690)" to="(450,750)"/> + <wire from="(840,1200)" to="(850,1200)"/> <wire from="(920,520)" to="(920,570)"/> - <wire from="(640,1320)" to="(650,1320)"/> - <wire from="(560,600)" to="(570,600)"/> <wire from="(600,960)" to="(610,960)"/> <wire from="(880,160)" to="(880,210)"/> - <wire from="(360,1440)" to="(420,1440)"/> + <wire from="(650,690)" to="(650,1020)"/> + <wire from="(760,1380)" to="(760,1580)"/> <wire from="(190,310)" to="(250,310)"/> <wire from="(200,1290)" to="(250,1290)"/> <wire from="(220,990)" to="(270,990)"/> - <wire from="(400,1410)" to="(400,1430)"/> + <wire from="(830,650)" to="(870,650)"/> + <wire from="(870,1010)" to="(910,1010)"/> <wire from="(830,560)" to="(830,580)"/> <wire from="(870,920)" to="(870,940)"/> <wire from="(190,1390)" to="(190,1480)"/> @@ -2488,74 +2185,49 @@ <wire from="(210,1090)" to="(210,1180)"/> <wire from="(240,160)" to="(240,250)"/> <wire from="(200,120)" to="(200,210)"/> - <wire from="(910,1280)" to="(910,1300)"/> + <wire from="(860,910)" to="(860,930)"/> <wire from="(240,700)" to="(280,700)"/> <wire from="(470,290)" to="(510,290)"/> <wire from="(240,70)" to="(270,70)"/> - <wire from="(910,110)" to="(930,110)"/> - <wire from="(850,1010)" to="(870,1010)"/> - <wire from="(890,1370)" to="(910,1370)"/> + <wire from="(1150,200)" to="(1150,1530)"/> <wire from="(180,1040)" to="(270,1040)"/> - <wire from="(1060,1230)" to="(1070,1230)"/> - <wire from="(980,1410)" to="(980,1570)"/> - <wire from="(770,1140)" to="(770,1200)"/> + <wire from="(890,300)" to="(890,340)"/> <wire from="(480,330)" to="(490,330)"/> - <wire from="(650,60)" to="(650,120)"/> - <wire from="(690,420)" to="(690,480)"/> - <wire from="(730,780)" to="(730,840)"/> - <wire from="(370,420)" to="(370,480)"/> - <wire from="(1020,870)" to="(1030,870)"/> - <wire from="(980,510)" to="(990,510)"/> - <wire from="(800,330)" to="(810,330)"/> - <wire from="(410,780)" to="(410,840)"/> - <wire from="(450,1140)" to="(450,1200)"/> + <wire from="(290,1740)" to="(300,1740)"/> <wire from="(840,1330)" to="(850,1330)"/> <wire from="(920,970)" to="(920,1020)"/> - <wire from="(560,1050)" to="(570,1050)"/> - <wire from="(520,690)" to="(530,690)"/> <wire from="(840,250)" to="(840,300)"/> <wire from="(880,610)" to="(880,660)"/> - <wire from="(930,150)" to="(930,160)"/> <wire from="(210,460)" to="(270,460)"/> + <wire from="(1220,1490)" to="(1260,1490)"/> <wire from="(430,290)" to="(430,300)"/> + <wire from="(300,1760)" to="(300,1770)"/> <wire from="(170,1140)" to="(280,1140)"/> - <wire from="(930,560)" to="(970,560)"/> - <wire from="(1150,200)" to="(1150,1550)"/> <wire from="(180,230)" to="(180,320)"/> <wire from="(830,1010)" to="(830,1030)"/> <wire from="(200,570)" to="(200,660)"/> <wire from="(240,610)" to="(240,700)"/> <wire from="(220,270)" to="(220,360)"/> - <wire from="(870,1370)" to="(870,1390)"/> <wire from="(240,1150)" to="(280,1150)"/> <wire from="(210,70)" to="(210,100)"/> <wire from="(430,380)" to="(470,380)"/> - <wire from="(870,200)" to="(890,200)"/> - <wire from="(910,560)" to="(930,560)"/> - <wire from="(1020,1320)" to="(1030,1320)"/> - <wire from="(850,1410)" to="(850,1580)"/> - <wire from="(720,1650)" to="(740,1650)"/> + <wire from="(850,390)" to="(850,430)"/> + <wire from="(890,750)" to="(890,790)"/> + <wire from="(930,1110)" to="(930,1150)"/> + <wire from="(1120,1550)" to="(1120,1800)"/> + <wire from="(1110,1540)" to="(1110,1790)"/> <wire from="(400,60)" to="(410,60)"/> <wire from="(440,420)" to="(450,420)"/> - <wire from="(480,780)" to="(490,780)"/> - <wire from="(610,150)" to="(610,210)"/> - <wire from="(690,870)" to="(690,930)"/> - <wire from="(650,510)" to="(650,570)"/> - <wire from="(730,1230)" to="(730,1290)"/> <wire from="(180,230)" to="(250,230)"/> - <wire from="(980,960)" to="(990,960)"/> - <wire from="(800,780)" to="(810,780)"/> - <wire from="(410,1230)" to="(410,1290)"/> - <wire from="(370,870)" to="(370,930)"/> + <wire from="(760,1380)" to="(770,1380)"/> <wire from="(720,60)" to="(730,60)"/> - <wire from="(760,420)" to="(770,420)"/> <wire from="(520,1140)" to="(530,1140)"/> <wire from="(840,700)" to="(840,750)"/> <wire from="(880,1060)" to="(880,1110)"/> <wire from="(930,600)" to="(930,610)"/> <wire from="(190,1210)" to="(250,1210)"/> <wire from="(210,910)" to="(270,910)"/> - <wire from="(890,240)" to="(890,250)"/> + <wire from="(430,1440)" to="(430,1580)"/> <wire from="(390,380)" to="(390,390)"/> <wire from="(230,620)" to="(280,620)"/> <wire from="(180,680)" to="(180,770)"/> @@ -2564,3235 +2236,990 @@ <wire from="(930,1560)" to="(930,1580)"/> <wire from="(300,1570)" to="(300,1600)"/> <wire from="(240,1060)" to="(240,1150)"/> - <wire from="(830,290)" to="(850,290)"/> - <wire from="(660,1670)" to="(660,1840)"/> - <wire from="(870,650)" to="(890,650)"/> <wire from="(220,630)" to="(250,630)"/> - <wire from="(910,1010)" to="(930,1010)"/> - <wire from="(1070,420)" to="(1070,480)"/> - <wire from="(400,510)" to="(410,510)"/> - <wire from="(480,1230)" to="(490,1230)"/> - <wire from="(440,870)" to="(450,870)"/> - <wire from="(1030,60)" to="(1030,120)"/> - <wire from="(360,150)" to="(370,150)"/> - <wire from="(570,240)" to="(570,300)"/> + <wire from="(890,1200)" to="(890,1240)"/> + <wire from="(330,1730)" to="(990,1730)"/> <wire from="(610,600)" to="(610,660)"/> - <wire from="(650,960)" to="(650,1020)"/> - <wire from="(690,1320)" to="(690,1380)"/> - <wire from="(370,1320)" to="(370,1380)"/> - <wire from="(800,1230)" to="(810,1230)"/> + <wire from="(920,390)" to="(930,390)"/> + <wire from="(730,60)" to="(730,750)"/> <wire from="(920,70)" to="(930,70)"/> <wire from="(750,920)" to="(830,920)"/> - <wire from="(680,150)" to="(690,150)"/> - <wire from="(760,870)" to="(770,870)"/> - <wire from="(720,510)" to="(730,510)"/> + <wire from="(880,1510)" to="(880,1560)"/> <wire from="(840,1150)" to="(840,1200)"/> <wire from="(300,1770)" to="(690,1770)"/> - <wire from="(530,1750)" to="(650,1750)"/> <wire from="(930,1050)" to="(930,1060)"/> - <wire from="(930,1190)" to="(1050,1190)"/> <wire from="(890,690)" to="(890,700)"/> - <wire from="(850,330)" to="(850,340)"/> - <wire from="(510,1280)" to="(830,1280)"/> <wire from="(250,370)" to="(250,380)"/> <wire from="(230,1070)" to="(280,1070)"/> + <wire from="(430,1830)" to="(430,1840)"/> + <wire from="(670,1190)" to="(1050,1190)"/> <wire from="(350,470)" to="(350,480)"/> - <wire from="(1100,1600)" to="(1100,1730)"/> + <wire from="(90,1640)" to="(200,1640)"/> <wire from="(220,1170)" to="(220,1260)"/> <wire from="(180,1130)" to="(180,1220)"/> <wire from="(210,100)" to="(250,100)"/> - <wire from="(830,740)" to="(850,740)"/> - <wire from="(870,1100)" to="(890,1100)"/> - <wire from="(930,650)" to="(1080,650)"/> - <wire from="(1070,870)" to="(1070,930)"/> - <wire from="(400,960)" to="(410,960)"/> - <wire from="(440,1320)" to="(450,1320)"/> + <wire from="(560,1380)" to="(560,1550)"/> + <wire from="(850,1290)" to="(850,1330)"/> + <wire from="(240,1750)" to="(260,1750)"/> <wire from="(170,150)" to="(250,150)"/> - <wire from="(360,600)" to="(370,600)"/> - <wire from="(1030,510)" to="(1030,570)"/> - <wire from="(990,150)" to="(990,210)"/> <wire from="(530,330)" to="(530,390)"/> - <wire from="(570,690)" to="(570,750)"/> - <wire from="(610,1050)" to="(610,1110)"/> <wire from="(120,40)" to="(130,40)"/> <wire from="(920,520)" to="(930,520)"/> - <wire from="(760,1320)" to="(770,1320)"/> - <wire from="(720,1410)" to="(720,1650)"/> + <wire from="(840,120)" to="(850,120)"/> <wire from="(880,160)" to="(890,160)"/> - <wire from="(680,600)" to="(690,600)"/> - <wire from="(720,960)" to="(730,960)"/> - <wire from="(640,240)" to="(650,240)"/> + <wire from="(880,480)" to="(890,480)"/> <wire from="(630,560)" to="(630,570)"/> - <wire from="(890,1140)" to="(890,1150)"/> <wire from="(1190,1760)" to="(1190,1780)"/> - <wire from="(850,780)" to="(850,790)"/> <wire from="(200,210)" to="(250,210)"/> <wire from="(210,1500)" to="(260,1500)"/> <wire from="(190,310)" to="(190,400)"/> <wire from="(230,350)" to="(230,440)"/> - <wire from="(910,200)" to="(910,220)"/> + <wire from="(940,550)" to="(940,570)"/> <wire from="(210,550)" to="(250,550)"/> - <wire from="(890,290)" to="(910,290)"/> - <wire from="(830,1190)" to="(850,1190)"/> <wire from="(920,1490)" to="(920,1530)"/> - <wire from="(1060,150)" to="(1070,150)"/> <wire from="(270,1470)" to="(480,1470)"/> - <wire from="(930,200)" to="(1150,200)"/> - <wire from="(1030,960)" to="(1030,1020)"/> - <wire from="(1070,1320)" to="(1070,1380)"/> - <wire from="(810,420)" to="(810,480)"/> <wire from="(630,560)" to="(830,560)"/> - <wire from="(360,1050)" to="(370,1050)"/> - <wire from="(990,600)" to="(990,660)"/> - <wire from="(530,780)" to="(530,840)"/> - <wire from="(570,1140)" to="(570,1200)"/> - <wire from="(770,60)" to="(770,120)"/> <wire from="(920,970)" to="(930,970)"/> + <wire from="(920,1290)" to="(930,1290)"/> <wire from="(330,650)" to="(590,650)"/> - <wire from="(450,60)" to="(450,120)"/> <wire from="(840,250)" to="(850,250)"/> <wire from="(490,420)" to="(490,480)"/> <wire from="(880,610)" to="(890,610)"/> - <wire from="(680,1050)" to="(690,1050)"/> <wire from="(640,690)" to="(650,690)"/> - <wire from="(600,330)" to="(610,330)"/> <wire from="(630,1010)" to="(630,1020)"/> <wire from="(550,290)" to="(550,300)"/> <wire from="(590,650)" to="(590,660)"/> - <wire from="(850,1230)" to="(850,1240)"/> <wire from="(200,660)" to="(250,660)"/> - <wire from="(330,1670)" to="(330,1690)"/> + <wire from="(330,1670)" to="(330,1680)"/> <wire from="(630,1100)" to="(670,1100)"/> <wire from="(170,420)" to="(170,510)"/> - <wire from="(870,290)" to="(870,310)"/> <wire from="(190,760)" to="(190,850)"/> <wire from="(230,800)" to="(230,890)"/> <wire from="(210,460)" to="(210,550)"/> + <wire from="(900,640)" to="(900,660)"/> <wire from="(910,650)" to="(910,670)"/> - <wire from="(850,380)" to="(870,380)"/> - <wire from="(890,740)" to="(910,740)"/> - <wire from="(1060,600)" to="(1070,600)"/> - <wire from="(610,1410)" to="(640,1410)"/> + <wire from="(940,1000)" to="(940,1020)"/> + <wire from="(550,290)" to="(1080,290)"/> <wire from="(520,1410)" to="(520,1510)"/> <wire from="(80,250)" to="(100,250)"/> - <wire from="(930,1540)" to="(1090,1540)"/> - <wire from="(810,870)" to="(810,930)"/> <wire from="(520,60)" to="(530,60)"/> <wire from="(630,1010)" to="(830,1010)"/> - <wire from="(990,1050)" to="(990,1110)"/> - <wire from="(290,1750)" to="(300,1750)"/> <wire from="(530,1230)" to="(530,1290)"/> - <wire from="(770,510)" to="(770,570)"/> - <wire from="(730,150)" to="(730,210)"/> - <wire from="(1020,240)" to="(1030,240)"/> - <wire from="(410,150)" to="(410,210)"/> - <wire from="(450,510)" to="(450,570)"/> + <wire from="(700,1720)" to="(700,1780)"/> <wire from="(840,700)" to="(850,700)"/> <wire from="(880,1060)" to="(890,1060)"/> - <wire from="(490,870)" to="(490,930)"/> + <wire from="(880,1380)" to="(890,1380)"/> <wire from="(640,1140)" to="(650,1140)"/> <wire from="(920,340)" to="(920,390)"/> <wire from="(560,420)" to="(570,420)"/> - <wire from="(600,780)" to="(610,780)"/> + <wire from="(560,1380)" to="(570,1380)"/> + <wire from="(1030,1540)" to="(1030,1560)"/> <wire from="(190,130)" to="(250,130)"/> <wire from="(220,810)" to="(270,810)"/> - <wire from="(200,1110)" to="(250,1110)"/> - <wire from="(510,380)" to="(510,390)"/> - <wire from="(830,380)" to="(830,400)"/> - <wire from="(170,870)" to="(170,960)"/> - <wire from="(210,910)" to="(210,1000)"/> - <wire from="(870,740)" to="(870,760)"/> - <wire from="(190,1210)" to="(190,1300)"/> - <wire from="(910,1100)" to="(910,1120)"/> - <wire from="(240,520)" to="(280,520)"/> - <wire from="(220,1460)" to="(260,1460)"/> - <wire from="(230,1250)" to="(230,1340)"/> - <wire from="(470,110)" to="(510,110)"/> - <wire from="(510,470)" to="(550,470)"/> - <wire from="(550,470)" to="(830,470)"/> - <wire from="(850,830)" to="(870,830)"/> - <wire from="(890,1190)" to="(910,1190)"/> - <wire from="(180,860)" to="(270,860)"/> - <wire from="(1060,1050)" to="(1070,1050)"/> - <wire from="(770,960)" to="(770,1020)"/> - <wire from="(810,1320)" to="(810,1380)"/> - <wire from="(200,70)" to="(200,120)"/> - <wire from="(480,150)" to="(490,150)"/> - <wire from="(490,1320)" to="(490,1380)"/> - <wire from="(690,240)" to="(690,300)"/> - <wire from="(730,600)" to="(730,660)"/> - <wire from="(980,330)" to="(990,330)"/> - <wire from="(930,1560)" to="(940,1560)"/> - <wire from="(1020,690)" to="(1030,690)"/> - <wire from="(370,240)" to="(370,300)"/> - <wire from="(410,600)" to="(410,660)"/> - <wire from="(800,150)" to="(810,150)"/> - <wire from="(450,960)" to="(450,1020)"/> - <wire from="(840,1150)" to="(850,1150)"/> - <wire from="(920,790)" to="(920,840)"/> - <wire from="(840,70)" to="(840,120)"/> - <wire from="(520,510)" to="(530,510)"/> - <wire from="(560,870)" to="(570,870)"/> - <wire from="(600,1230)" to="(610,1230)"/> - <wire from="(880,430)" to="(880,480)"/> - <comp lib="0" loc="(1010,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(970,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(590,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(80,250)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(670,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,570)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(1020,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(910,1560)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="selloc" val="tr"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(440,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(720,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,1410)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(860,1020)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(42,256)" name="Text"> - <a name="text" val="RST"/> - </comp> - <comp lib="1" loc="(900,300)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(1010,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(900,1380)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(980,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,1140)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(1020,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1020,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(280,270)" name="Constant"/> - <comp lib="1" loc="(900,660)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="2" loc="(930,420)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(600,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="4" loc="(260,1670)" name="Register"/> - <comp lib="1" loc="(520,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,420)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(280,330)" name="Constant"/> - <comp lib="0" loc="(710,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,930)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(470,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(800,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(970,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,600)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(280,390)" name="Constant"/> - <comp lib="1" loc="(900,390)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(970,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(200,1500)" name="Splitter"> - <a name="facing" val="north"/> - <a name="appear" val="center"/> - </comp> - <comp lib="0" loc="(280,1200)" name="Constant"/> - <comp lib="0" loc="(790,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,870)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(940,280)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,1020)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(260,1440)" name="Constant"> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(970,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(980,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,1110)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="2" loc="(930,1320)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(670,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,410)" name="Constant"/> - <comp lib="1" loc="(980,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(890,150)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(350,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(510,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(670,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,100)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(980,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,330)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(720,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(900,750)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(940,640)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(330,650)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="LUI"/> - <a name="negate7" val="true"/> - </comp> - <comp lib="1" loc="(900,210)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(1060,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(560,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,600)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(1010,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,510)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(360,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,550)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(670,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1020,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(330,830)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="PUSH"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - <a name="negate5" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="0" loc="(220,1610)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="4"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,750)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(360,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,690)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(280,490)" name="Constant"/> - <comp lib="0" loc="(970,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,840)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(520,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(590,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(590,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(940,190)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,360)" name="Constant"/> - <comp lib="0" loc="(760,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,300)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(44,85)" name="Text"> - <a name="text" val="CLK"/> - </comp> - <comp lib="1" loc="(1020,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1050,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,100)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(320,1620)" name="Multiplexer"> - <a name="selloc" val="tr"/> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(750,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,820)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(280,480)" name="Constant"/> - <comp lib="1" loc="(940,480)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(610,1644)" name="Text"> - <a name="text" val="GPR MUX"/> - </comp> - <comp lib="1" loc="(520,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,1050)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(330,470)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="CIN"/> - <a name="negate0" val="true"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - </comp> - <comp lib="1" loc="(600,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,1230)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(890,870)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(980,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(970,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(280,1300)" name="Constant"/> - <comp lib="1" loc="(560,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(160,50)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(390,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="6" loc="(1226,1375)" name="Text"> - <a name="text" val="COMPARE"/> - </comp> - <comp lib="0" loc="(1050,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,960)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(470,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(510,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(290,1530)" name="Multiplexer"> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="2" loc="(890,240)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(1020,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,960)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="6" loc="(697,1845)" name="Text"> - <a name="text" val="JMP"/> - </comp> - <comp lib="0" loc="(590,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(890,330)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(680,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,690)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(680,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(494,1843)" name="Text"> - <a name="text" val="A MUX"/> - </comp> - <comp lib="0" loc="(610,1610)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="2"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(550,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,550)" name="Constant"/> - <comp lib="1" loc="(720,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,120)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(550,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(480,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1050,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(600,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,690)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(710,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(940,1180)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,1000)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x3"/> - </comp> - <comp lib="1" loc="(1180,1560)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="3"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(470,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,1280)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="SP"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="0" loc="(1050,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(600,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="6" loc="(572,1723)" name="Text"> - <a name="text" val="IMM VALUE"/> - </comp> - <comp lib="0" loc="(610,1600)" name="Splitter"> - <a name="facing" val="north"/> - <a name="appear" val="center"/> - </comp> - <comp lib="0" loc="(280,1290)" name="Constant"/> - <comp lib="1" loc="(1020,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(880,1610)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="2"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="2" loc="(890,1140)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(800,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,1000)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,1210)" name="Constant"/> - <comp lib="0" loc="(180,1540)" name="Splitter"> - <a name="facing" val="north"/> - <a name="appear" val="center"/> - </comp> - <comp lib="1" loc="(560,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,390)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(802,1645)" name="Text"> - <a name="text" val="PUSH"/> - </comp> - <comp lib="0" loc="(570,1690)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(1020,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,290)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="NAND"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="0" loc="(850,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,660)" name="Constant"/> - <comp lib="1" loc="(1020,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(280,400)" name="Constant"/> - <comp lib="1" loc="(800,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,640)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="6" loc="(453,1650)" name="Text"> - <a name="text" val="ALU OPCODE"/> - </comp> - <comp lib="0" loc="(180,1580)" name="Splitter"> - <a name="facing" val="north"/> - <a name="incoming" val="4"/> - <a name="appear" val="right"/> - <a name="bit1" val="0"/> - <a name="bit2" val="1"/> - <a name="bit3" val="1"/> - </comp> - <comp lib="0" loc="(470,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(710,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(890,510)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(290,1610)" name="OR Gate"> - <a name="width" val="8"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(560,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1020,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,240)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(510,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(930,150)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(860,280)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(560,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(240,1630)" name="Splitter"> - <a name="facing" val="north"/> - <a name="incoming" val="8"/> - <a name="bit1" val="0"/> - <a name="bit2" val="0"/> - <a name="bit3" val="0"/> - <a name="bit4" val="1"/> - <a name="bit5" val="1"/> - <a name="bit6" val="1"/> - <a name="bit7" val="1"/> - </comp> - <comp lib="0" loc="(940,820)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,580)" name="Constant"/> - <comp lib="1" loc="(480,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(750,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1020,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,750)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(330,740)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="JMP"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - <a name="negate4" val="true"/> - <a name="negate5" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="0" loc="(280,280)" name="Constant"/> - <comp lib="1" loc="(360,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(890,1410)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(440,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,1110)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(640,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(360,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(640,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,660)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(350,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(680,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(280,100)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,1000)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,510)" name="Constant"/> - <comp lib="0" loc="(590,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,480)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(520,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(980,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(510,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,1390)" name="Constant"/> - <comp lib="0" loc="(430,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(590,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(510,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(970,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(80,50)" name="Pin"> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="2" loc="(890,420)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(1210,1520)" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - <a name="width" val="4"/> - </comp> - <comp lib="1" loc="(800,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(550,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(880,1610)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(400,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,1270)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(920,1610)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(1231,1501)" name="Text"> - <a name="text" val="AUX OP"/> - </comp> - <comp lib="0" loc="(940,460)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,510)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(670,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(560,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(890,1320)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(1010,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,320)" name="Constant"/> - <comp lib="6" loc="(881,1649)" name="Text"> - <a name="text" val="B"/> - </comp> - <comp lib="0" loc="(280,640)" name="Constant"/> - <comp lib="6" loc="(920,1648)" name="Text"> - <a name="text" val="C"/> - </comp> - <comp lib="6" loc="(333,1723)" name="Text"> - <a name="text" val="MEM POINTER"/> - </comp> - <comp lib="1" loc="(800,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(760,1610)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(480,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(790,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(600,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,550)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,570)" name="Constant"/> - <comp lib="1" loc="(680,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(770,1660)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - <a name="negate1" val="true"/> - </comp> - <comp lib="1" loc="(400,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(390,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1050,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,280)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(600,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,930)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(900,120)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(330,1190)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="SB"/> - <a name="negate2" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="0" loc="(550,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(930,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,730)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1050,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(720,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,910)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(940,1270)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(850,870)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(430,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(930,1050)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(900,460)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(80,80)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="0" loc="(470,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(430,1610)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="3"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(430,1600)" name="Splitter"> - <a name="facing" val="north"/> - <a name="fanout" val="3"/> - <a name="incoming" val="3"/> - <a name="appear" val="center"/> - </comp> - <comp lib="1" loc="(940,1380)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(600,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1060,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(760,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,540)" name="Constant"/> - <comp lib="0" loc="(860,1360)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,1380)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="2" loc="(850,150)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(250,1600)" name="Splitter"> - <a name="facing" val="north"/> - <a name="incoming" val="8"/> - <a name="bit1" val="0"/> - <a name="bit2" val="0"/> - <a name="bit3" val="0"/> - <a name="bit4" val="1"/> - <a name="bit5" val="1"/> - <a name="bit6" val="1"/> - <a name="bit7" val="1"/> - </comp> - <comp lib="1" loc="(260,1740)" name="AND Gate"> - <a name="facing" val="west"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,1090)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="4" loc="(1200,1740)" name="Register"> - <a name="width" val="1"/> - <a name="trigger" val="falling"/> - </comp> - <comp lib="1" loc="(980,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1050,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,910)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(970,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(470,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(260,1520)" name="Constant"> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(740,1840)" name="Pin"> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(280,1360)" name="Constant"/> - <comp lib="1" loc="(600,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(130,60)" name="Constant"> - <a name="width" val="8"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,130)" name="Constant"/> - <comp lib="0" loc="(330,1690)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="8"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="1" loc="(520,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1170,1370)" name="Pin"> - <a name="facing" val="west"/> - <a name="output" val="true"/> - </comp> - <comp lib="1" loc="(800,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="6" loc="(996,1648)" name="Text"> - <a name="text" val="WRITE ENABLE"/> - </comp> - <comp lib="0" loc="(800,1610)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(900,1180)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(940,100)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(900,570)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(800,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(900,1090)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,1270)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(800,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(900,480)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="6" loc="(760,1643)" name="Text"> - <a name="text" val="POP"/> - </comp> - <comp lib="0" loc="(280,670)" name="Constant"/> - <comp lib="0" loc="(860,820)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(520,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(980,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(480,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(640,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(400,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(720,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(840,1610)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="width" val="2"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(940,1360)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(600,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="2" loc="(850,1320)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(720,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(890,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(860,370)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(860,570)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(400,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(280,210)" name="Constant"/> - <comp lib="1" loc="(400,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(330,380)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="ADD"/> - <a name="negate0" val="true"/> - </comp> - <comp lib="1" loc="(560,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(590,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(890,960)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> - </comp> - <comp lib="1" loc="(440,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(600,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,190)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(190,1690)" name="Pin"> - <a name="facing" val="north"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="1" loc="(360,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(680,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(720,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1050,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(750,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,220)" name="Constant"/> - <comp lib="1" loc="(480,780)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(630,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(470,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(640,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,1110)" name="Constant"/> - <comp lib="0" loc="(350,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(440,600)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1020,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(720,1320)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(860,1180)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,140)" name="Constant"/> - <comp lib="0" loc="(480,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,1120)" name="Constant"/> - <comp lib="0" loc="(1010,930)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(350,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(1060,510)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(350,1290)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(530,1830)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> - </comp> - <comp lib="0" loc="(750,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(390,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,310)" name="Constant"/> - <comp lib="0" loc="(590,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(900,1360)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(160,50)" name="Splitter"> - <a name="facing" val="south"/> - <a name="fanout" val="8"/> - <a name="incoming" val="8"/> - </comp> - <comp lib="1" loc="(400,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(800,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(360,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(430,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,420)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(590,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(1060,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(590,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(360,1050)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(1010,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(630,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,210)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(710,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(670,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(970,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(400,960)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(940,840)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="1" loc="(1160,1740)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(940,370)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,500)" name="Constant"/> - <comp lib="0" loc="(790,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(560,150)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(440,1410)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="1" loc="(520,1140)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(670,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(280,120)" name="Constant"/> - <comp lib="1" loc="(680,960)" name="OR Gate"> + <wire from="(200,1110)" to="(250,1110)"/> + <wire from="(510,380)" to="(510,390)"/> + <wire from="(530,510)" to="(530,1110)"/> + <wire from="(870,830)" to="(910,830)"/> + <wire from="(170,870)" to="(170,960)"/> + <wire from="(210,910)" to="(210,1000)"/> + <wire from="(190,1210)" to="(190,1300)"/> + <wire from="(1130,1570)" to="(1130,1770)"/> + <wire from="(240,520)" to="(280,520)"/> + <wire from="(220,1460)" to="(260,1460)"/> + <wire from="(230,1250)" to="(230,1340)"/> + <wire from="(470,110)" to="(510,110)"/> + <wire from="(510,470)" to="(550,470)"/> + <wire from="(180,860)" to="(270,860)"/> + <wire from="(890,120)" to="(890,160)"/> + <wire from="(930,480)" to="(930,520)"/> + <wire from="(300,1570)" to="(970,1570)"/> + <wire from="(200,70)" to="(200,120)"/> + <wire from="(480,150)" to="(490,150)"/> + <wire from="(1230,1520)" to="(1260,1520)"/> + <wire from="(1120,1800)" to="(1210,1800)"/> + <wire from="(930,1560)" to="(940,1560)"/> + <wire from="(880,1510)" to="(890,1510)"/> + <wire from="(840,1150)" to="(850,1150)"/> + <wire from="(920,790)" to="(920,840)"/> + <wire from="(840,70)" to="(840,120)"/> + <wire from="(520,510)" to="(530,510)"/> + <wire from="(880,430)" to="(880,480)"/> + <comp lib="1" loc="(520,150)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(860,1110)" name="Controlled Buffer"> + <comp lib="0" loc="(860,820)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> </comp> - <comp lib="1" loc="(720,870)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(710,390)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(1010,300)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="0" loc="(160,1670)" name="Constant"> + <a name="width" val="8"/> </comp> - <comp lib="1" loc="(980,600)" name="OR Gate"> + <comp lib="1" loc="(640,1050)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(940,1290)" name="Controlled Buffer"> + <comp lib="0" loc="(280,390)" name="Constant"/> + <comp lib="2" loc="(850,960)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(330,200)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="SCO"/> - <a name="negate0" val="true"/> - <a name="negate1" val="true"/> - <a name="negate2" val="true"/> - <a name="negate3" val="true"/> - </comp> - <comp lib="0" loc="(280,230)" name="Constant"/> - <comp lib="0" loc="(220,1460)" name="Splitter"> + <comp lib="0" loc="(200,1500)" name="Splitter"> <a name="facing" val="north"/> <a name="appear" val="center"/> </comp> - <comp lib="0" loc="(710,1200)" name="Constant"> + <comp lib="0" loc="(800,60)" name="Constant"> <a name="facing" val="south"/> <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(470,210)" name="Constant"> + <comp lib="0" loc="(900,910)" name="Constant"> <a name="facing" val="south"/> + <a name="width" val="2"/> <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(590,210)" name="Constant"> + <comp lib="1" loc="(520,1140)" name="OR Gate"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(1050,1380)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="0" loc="(280,1200)" name="Constant"/> + <comp lib="0" loc="(440,1870)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="label" val="MEMORY READ SELECT"/> + <a name="labelloc" val="east"/> </comp> - <comp lib="2" loc="(850,780)" name="Multiplexer"> + <comp lib="1" loc="(920,1610)" name="OR Gate"> <a name="facing" val="south"/> <a name="width" val="2"/> - <a name="enable" val="false"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(400,150)" name="OR Gate"> + <comp lib="0" loc="(280,240)" name="Constant"/> + <comp lib="1" loc="(680,1140)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(750,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="4" loc="(710,1700)" name="Register"> + <a name="width" val="1"/> + <a name="trigger" val="falling"/> </comp> - <comp lib="0" loc="(790,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="0" loc="(280,1360)" name="Constant"/> + <comp lib="1" loc="(330,110)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="OR"/> + <a name="negate0" val="true"/> + <a name="negate1" val="true"/> </comp> - <comp lib="1" loc="(560,1320)" name="OR Gate"> + <comp lib="1" loc="(560,420)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="6" loc="(19,34)" name="Text"> - <a name="text" val="DATA"/> - </comp> - <comp lib="1" loc="(940,120)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> + <comp lib="0" loc="(280,640)" name="Constant"/> + <comp lib="0" loc="(280,660)" name="Constant"/> + <comp lib="1" loc="(1170,1740)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(900,910)" name="Constant"> + <comp lib="2" loc="(930,960)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> - <a name="value" val="0x0"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(400,600)" name="OR Gate"> + <comp lib="1" loc="(480,420)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(710,1110)" name="Constant"> + <comp lib="0" loc="(940,820)" name="Constant"> <a name="facing" val="south"/> + <a name="width" val="2"/> <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(390,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="2" loc="(520,1670)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(330,920)" name="AND Gate"> + <comp lib="1" loc="(330,200)" name="AND Gate"> <a name="inputs" val="8"/> - <a name="label" val="POP"/> + <a name="label" val="SCO"/> + <a name="negate0" val="true"/> + <a name="negate1" val="true"/> <a name="negate2" val="true"/> <a name="negate3" val="true"/> - <a name="negate4" val="true"/> - <a name="negate6" val="true"/> - </comp> - <comp lib="1" loc="(760,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(280,300)" name="Constant"/> - <comp lib="0" loc="(280,1350)" name="Constant"/> - <comp lib="0" loc="(510,660)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(510,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,240)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> - </comp> - <comp lib="0" loc="(670,120)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(980,690)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(760,780)" name="OR Gate"> + <comp lib="1" loc="(560,330)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(330,1100)" name="AND Gate"> + <comp lib="1" loc="(330,1190)" name="AND Gate"> <a name="inputs" val="8"/> - <a name="label" val="LB"/> - <a name="negate3" val="true"/> + <a name="label" val="SB"/> + <a name="negate2" val="true"/> <a name="negate6" val="true"/> </comp> - <comp lib="0" loc="(900,640)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(970,1020)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,1290)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> + <comp lib="0" loc="(280,490)" name="Constant"/> + <comp lib="0" loc="(440,1690)" name="Pin"> + <a name="facing" val="north"/> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + <a name="label" val="PC ADDRESS"/> + <a name="labelloc" val="south"/> </comp> - <comp lib="1" loc="(640,1140)" name="OR Gate"> + <comp lib="0" loc="(280,210)" name="Constant"/> + <comp lib="1" loc="(520,1230)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(550,1290)" name="Constant"> + <comp lib="0" loc="(400,60)" name="Constant"> <a name="facing" val="south"/> <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(750,1200)" name="Constant"> + <comp lib="0" loc="(280,1350)" name="Constant"/> + <comp lib="0" loc="(220,1610)" name="Constant"> <a name="facing" val="south"/> + <a name="width" val="4"/> <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(940,390)" name="Controlled Buffer"> + <comp lib="0" loc="(860,910)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(430,1110)" name="Constant"> - <a name="facing" val="south"/> <a name="value" val="0x0"/> </comp> - <comp lib="2" loc="(890,780)" name="Multiplexer"> + <comp lib="2" loc="(930,690)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(970,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(790,480)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(940,1200)" name="Controlled Buffer"> + <comp lib="2" loc="(930,600)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(440,60)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(760,1410)" name="OR Gate"> + <comp lib="1" loc="(520,1410)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(900,840)" name="Controlled Buffer"> + <comp lib="0" loc="(280,150)" name="Constant"/> + <comp lib="0" loc="(360,60)" name="Constant"> <a name="facing" val="south"/> - <a name="width" val="2"/> + <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(640,1840)" name="Pin"> - <a name="tristate" val="false"/> + <comp lib="4" loc="(1230,1520)" name="Register"> + <a name="width" val="4"/> + <a name="trigger" val="falling"/> </comp> - <comp lib="1" loc="(840,1610)" name="OR Gate"> + <comp lib="0" loc="(280,1300)" name="Constant"/> + <comp lib="2" loc="(320,1620)" name="Multiplexer"> + <a name="selloc" val="tr"/> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(520,420)" name="OR Gate"> <a name="facing" val="south"/> - <a name="width" val="2"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(550,1110)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(970,120)" name="Constant"> + <comp lib="0" loc="(280,1380)" name="Constant"/> + <comp lib="1" loc="(360,330)" name="OR Gate"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(1050,120)" name="Constant"> + <comp lib="1" loc="(360,510)" name="OR Gate"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(280,370)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(440,1230)" name="OR Gate"> + <comp lib="1" loc="(600,1050)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(920,1610)" name="Pin"> + <comp lib="0" loc="(680,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(280,90)" name="Constant"/> + <comp lib="0" loc="(530,1830)" name="Pin"> <a name="facing" val="north"/> <a name="output" val="true"/> + <a name="label" val="Address MUX"/> + <a name="labelloc" val="south"/> + </comp> + <comp lib="2" loc="(290,1450)" name="Multiplexer"> <a name="width" val="2"/> - <a name="labelloc" val="east"/> + <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(710,570)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="0" loc="(800,1580)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="label" val="PUSH"/> + <a name="labelloc" val="south"/> </comp> - <comp lib="0" loc="(940,1090)" name="Constant"> + <comp lib="0" loc="(900,640)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> - <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(280,1380)" name="Constant"/> - <comp lib="1" loc="(980,1410)" name="OR Gate"> + <comp lib="0" loc="(940,1000)" name="Constant"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="width" val="2"/> + <a name="value" val="0x3"/> </comp> - <comp lib="1" loc="(480,870)" name="OR Gate"> + <comp lib="0" loc="(280,670)" name="Constant"/> + <comp lib="1" loc="(760,960)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(940,730)" name="Constant"> + <comp lib="2" loc="(890,600)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(970,750)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="2" loc="(520,1670)" name="Multiplexer"> - <a name="selloc" val="tr"/> - <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(860,300)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(900,190)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(860,1200)" name="Controlled Buffer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> + <comp lib="0" loc="(280,140)" name="Constant"/> + <comp lib="0" loc="(280,1290)" name="Constant"/> + <comp lib="1" loc="(330,1280)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="SP"/> + <a name="negate6" val="true"/> </comp> - <comp lib="1" loc="(760,870)" name="OR Gate"> + <comp lib="0" loc="(280,220)" name="Constant"/> + <comp lib="0" loc="(220,1460)" name="Splitter"> + <a name="facing" val="north"/> + <a name="appear" val="center"/> + </comp> + <comp lib="1" loc="(600,960)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(640,1320)" name="OR Gate"> + <comp lib="1" loc="(1040,1590)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(1100,1600)" name="OR Gate"> + <comp lib="0" loc="(280,1390)" name="Constant"/> + <comp lib="0" loc="(600,60)" name="Constant"> <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(280,100)" name="OR Gate"> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(360,1320)" name="OR Gate"> + <comp lib="1" loc="(330,380)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="ADD"/> + <a name="negate0" val="true"/> + </comp> + <comp lib="1" loc="(480,150)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(670,300)" name="Constant"> + <comp lib="0" loc="(560,60)" name="Constant"> <a name="facing" val="south"/> <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(280,420)" name="Constant"/> - <comp lib="0" loc="(710,930)" name="Constant"> + <comp lib="0" loc="(940,550)" name="Constant"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="width" val="2"/> </comp> - <comp lib="2" loc="(850,1410)" name="Multiplexer"> + <comp lib="2" loc="(850,600)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(860,210)" name="Controlled Buffer"> + <comp lib="0" loc="(940,910)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> </comp> - <comp lib="1" loc="(800,1320)" name="OR Gate"> + <comp lib="0" loc="(570,1690)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="label" val="IMM VALUE"/> + <a name="labelloc" val="south"/> + </comp> + <comp lib="0" loc="(380,1830)" name="Constant"> + <a name="width" val="8"/> + <a name="value" val="0xf0"/> + </comp> + <comp lib="1" loc="(560,150)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(760,1140)" name="OR Gate"> + <comp lib="1" loc="(400,420)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(680,60)" name="Constant"> + <comp lib="2" loc="(850,1050)" name="Multiplexer"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(980,1230)" name="OR Gate"> + <comp lib="1" loc="(640,690)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(1060,870)" name="OR Gate"> + <comp lib="0" loc="(480,60)" name="Constant"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(900,1200)" name="Controlled Buffer"> + <comp lib="0" loc="(280,630)" name="Constant"/> + <comp lib="0" loc="(850,60)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> + <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(480,510)" name="OR Gate"> + <comp lib="1" loc="(520,330)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(860,930)" name="Controlled Buffer"> + <comp lib="2" loc="(850,690)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(890,1230)" name="Multiplexer"> + <comp lib="0" loc="(520,60)" name="Constant"> <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> + <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(330,1370)" name="AND Gate"> + <comp lib="1" loc="(330,470)" name="AND Gate"> <a name="inputs" val="8"/> - <a name="label" val="CMP"/> + <a name="label" val="CIN"/> + <a name="negate0" val="true"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> </comp> - <comp lib="1" loc="(440,960)" name="OR Gate"> + <comp lib="0" loc="(280,570)" name="Constant"/> + <comp lib="2" loc="(930,1050)" name="Multiplexer"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(970,660)" name="Constant"> + <comp lib="0" loc="(280,420)" name="Constant"/> + <comp lib="0" loc="(160,50)" name="Splitter"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="fanout" val="8"/> + <a name="incoming" val="8"/> </comp> - <comp lib="0" loc="(750,210)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="0" loc="(710,1840)" name="Pin"> + <a name="output" val="true"/> + <a name="label" val="BRANCH"/> + <a name="labelloc" val="east"/> </comp> - <comp lib="0" loc="(470,840)" name="Constant"> + <comp lib="0" loc="(280,410)" name="Constant"/> + <comp lib="2" loc="(890,690)" name="Multiplexer"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="6" loc="(583,1843)" name="Text"> - <a name="text" val="JMP FLAG"/> + <comp lib="1" loc="(330,1010)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="PCR"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + <a name="negate6" val="true"/> </comp> - <comp lib="0" loc="(350,1110)" name="Constant"> + <comp lib="0" loc="(240,1580)" name="Constant"> <a name="facing" val="south"/> + <a name="width" val="4"/> <a name="value" val="0x0"/> </comp> - <comp lib="2" loc="(930,780)" name="Multiplexer"> + <comp lib="1" loc="(560,510)" name="OR Gate"> <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="2" loc="(290,1450)" name="Multiplexer"> + <comp lib="1" loc="(770,1690)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="2" loc="(890,960)" name="Multiplexer"> + <a name="facing" val="south"/> <a name="width" val="2"/> <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(760,510)" name="OR Gate"> - <a name="facing" val="south"/> + <comp lib="0" loc="(330,1680)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="8"/> + <a name="label" val="MEM POINTER"/> + <a name="labelloc" val="south"/> + </comp> + <comp lib="0" loc="(280,280)" name="Constant"/> + <comp lib="0" loc="(670,1840)" name="Pin"> + <a name="tristate" val="false"/> + <a name="label" val="JMP FLAG"/> + </comp> + <comp lib="1" loc="(260,1750)" name="AND Gate"> + <a name="facing" val="west"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="2" loc="(850,1140)" name="Multiplexer"> + <comp lib="0" loc="(860,550)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> - <a name="enable" val="false"/> + <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(280,630)" name="Constant"/> - <comp lib="0" loc="(550,930)" name="Constant"> + <comp lib="0" loc="(440,60)" name="Constant"> <a name="facing" val="south"/> <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(600,960)" name="OR Gate"> + <comp lib="1" loc="(680,1230)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(630,930)" name="Constant"> + <comp lib="0" loc="(760,60)" name="Constant"> <a name="facing" val="south"/> <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(760,330)" name="OR Gate"> + <comp lib="0" loc="(900,1000)" name="Constant"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(720,1230)" name="OR Gate"> + <comp lib="0" loc="(280,500)" name="Constant"/> + <comp lib="1" loc="(440,1870)" name="AND Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(800,420)" name="OR Gate"> + <comp lib="1" loc="(600,600)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(560,1230)" name="OR Gate"> + <comp lib="1" loc="(520,1320)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(900,730)" name="Constant"> - <a name="facing" val="south"/> + <comp lib="2" loc="(290,1530)" name="Multiplexer"> <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="4" loc="(710,1670)" name="Register"> - <a name="width" val="1"/> - <a name="trigger" val="falling"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(330,560)" name="AND Gate"> - <a name="inputs" val="8"/> - <a name="label" val="LLI"/> - <a name="negate6" val="true"/> - <a name="negate7" val="true"/> + <comp lib="0" loc="(440,1600)" name="Splitter"> + <a name="facing" val="north"/> + <a name="fanout" val="3"/> + <a name="incoming" val="3"/> + <a name="appear" val="center"/> </comp> - <comp lib="0" loc="(940,550)" name="Constant"> + <comp lib="0" loc="(720,60)" name="Constant"> <a name="facing" val="south"/> - <a name="width" val="2"/> - </comp> - <comp lib="0" loc="(260,1480)" name="Constant"> - <a name="width" val="2"/> <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(860,660)" name="Controlled Buffer"> + <comp lib="2" loc="(230,1670)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(930,60)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> + <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(750,390)" name="Constant"> + <comp lib="0" loc="(280,1110)" name="Constant"/> + <comp lib="3" loc="(200,1680)" name="Adder"/> + <comp lib="0" loc="(860,1000)" name="Constant"> <a name="facing" val="south"/> + <a name="width" val="2"/> <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(680,510)" name="OR Gate"> + <comp lib="1" loc="(480,1410)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(980,330)" name="OR Gate"> + <comp lib="0" loc="(280,550)" name="Constant"/> + <comp lib="2" loc="(910,1560)" name="Multiplexer"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="selloc" val="tr"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(900,1020)" name="Controlled Buffer"> - <a name="facing" val="south"/> + <comp lib="0" loc="(280,270)" name="Constant"/> + <comp lib="0" loc="(840,1610)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> <a name="width" val="2"/> + <a name="label" val="A (R)"/> + <a name="labelloc" val="south"/> </comp> - <comp lib="1" loc="(720,1140)" name="OR Gate"> + <comp lib="1" loc="(520,510)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(470,930)" name="Constant"> - <a name="facing" val="south"/> + <comp lib="0" loc="(1260,1490)" name="Pin"> + <a name="facing" val="west"/> + <a name="output" val="true"/> + <a name="label" val="Compare Enable"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(280,310)" name="Constant"/> + <comp lib="0" loc="(130,60)" name="Constant"> + <a name="width" val="8"/> <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(760,690)" name="OR Gate"> + <comp lib="1" loc="(1180,1560)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="4"/> + <a name="negate0" val="true"/> + <a name="negate1" val="true"/> + </comp> + <comp lib="0" loc="(1260,1520)" name="Pin"> + <a name="facing" val="west"/> + <a name="output" val="true"/> + <a name="width" val="4"/> + <a name="label" val="Compare OP"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(280,1210)" name="Constant"/> + <comp lib="1" loc="(440,420)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(760,960)" name="OR Gate"> + <comp lib="1" loc="(880,1610)" name="OR Gate"> <a name="facing" val="south"/> + <a name="width" val="2"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(330,1010)" name="AND Gate"> + <comp lib="0" loc="(250,1600)" name="Splitter"> + <a name="facing" val="north"/> + <a name="incoming" val="8"/> + <a name="bit1" val="0"/> + <a name="bit2" val="0"/> + <a name="bit3" val="0"/> + <a name="bit4" val="1"/> + <a name="bit5" val="1"/> + <a name="bit6" val="1"/> + <a name="bit7" val="1"/> + </comp> + <comp lib="1" loc="(280,370)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="1" loc="(300,1740)" name="OR Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(890,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(440,1610)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="3"/> + <a name="label" val="ALU OP"/> + <a name="labelloc" val="south"/> + </comp> + <comp lib="1" loc="(330,650)" name="AND Gate"> <a name="inputs" val="8"/> - <a name="label" val="PCR"/> + <a name="label" val="LUI"/> + <a name="negate7" val="true"/> + </comp> + <comp lib="0" loc="(280,300)" name="Constant"/> + <comp lib="1" loc="(330,920)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="POP"/> <a name="negate2" val="true"/> <a name="negate3" val="true"/> + <a name="negate4" val="true"/> <a name="negate6" val="true"/> </comp> - <comp lib="1" loc="(400,420)" name="OR Gate"> + <comp lib="1" loc="(330,1370)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="CMP"/> + </comp> + <comp lib="1" loc="(480,510)" name="OR Gate"> + <a name="facing" val="south"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(900,820)" name="Constant"> + <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="0" loc="(260,1440)" name="Constant"> + <a name="width" val="2"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(640,600)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(900,1290)" name="Controlled Buffer"> + <comp lib="1" loc="(600,690)" name="OR Gate"> <a name="facing" val="south"/> - <a name="width" val="2"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(240,1580)" name="Constant"> - <a name="facing" val="south"/> - <a name="width" val="4"/> - <a name="value" val="0x0"/> + <comp lib="6" loc="(233,1834)" name="Text"> + <a name="text" val="This input defines the range of MMIO --&gt;"/> </comp> - <comp lib="2" loc="(890,1050)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> + <comp lib="0" loc="(80,250)" name="Pin"> + <a name="tristate" val="false"/> + <a name="label" val="RST"/> + </comp> + <comp lib="0" loc="(80,50)" name="Pin"> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + <a name="label" val="DATA"/> + <a name="labelloc" val="north"/> </comp> - <comp lib="0" loc="(390,570)" name="Constant"> + <comp lib="1" loc="(640,1140)" name="OR Gate"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(550,1020)" name="Constant"> + <comp lib="0" loc="(900,550)" name="Constant"> <a name="facing" val="south"/> + <a name="width" val="2"/> <a name="value" val="0x0"/> </comp> - <comp lib="0" loc="(230,1580)" name="Splitter"> + <comp lib="0" loc="(1200,1480)" name="Splitter"> + <a name="facing" val="west"/> + <a name="fanout" val="4"/> + <a name="incoming" val="4"/> + </comp> + <comp lib="0" loc="(180,1580)" name="Splitter"> <a name="facing" val="north"/> <a name="incoming" val="4"/> + <a name="appear" val="right"/> <a name="bit1" val="0"/> <a name="bit2" val="1"/> <a name="bit3" val="1"/> </comp> - <comp lib="6" loc="(187,1723)" name="Text"> - <a name="text" val="ALU"/> + <comp lib="0" loc="(280,130)" name="Constant"/> + <comp lib="0" loc="(760,1580)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="label" val="POP"/> + <a name="labelloc" val="south"/> </comp> - <comp lib="1" loc="(520,330)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(280,1120)" name="Constant"/> + <comp lib="1" loc="(330,1100)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="LB"/> + <a name="negate3" val="true"/> + <a name="negate6" val="true"/> + </comp> + <comp lib="1" loc="(330,290)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="NAND"/> + <a name="negate1" val="true"/> </comp> - <comp lib="6" loc="(441,1724)" name="Text"> - <a name="text" val="CUR PC ADDR"/> + <comp lib="0" loc="(280,510)" name="Constant"/> + <comp lib="3" loc="(420,1820)" name="Comparator"> + <a name="mode" val="unsigned"/> </comp> - <comp lib="1" loc="(680,1230)" name="OR Gate"> - <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(80,80)" name="Pin"> + <a name="tristate" val="false"/> + <a name="label" val="CLK"/> </comp> - <comp lib="4" loc="(110,50)" name="Register"> - <a name="trigger" val="falling"/> + <comp lib="0" loc="(260,1520)" name="Constant"> + <a name="width" val="2"/> + <a name="value" val="0x0"/> </comp> - <comp lib="1" loc="(520,780)" name="OR Gate"> + <comp lib="2" loc="(850,870)" name="Multiplexer"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="1" loc="(330,830)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="PUSH"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + <a name="negate5" val="true"/> + <a name="negate6" val="true"/> </comp> - <comp lib="1" loc="(720,960)" name="OR Gate"> + <comp lib="1" loc="(800,870)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="0" loc="(280,90)" name="Constant"/> - <comp lib="0" loc="(900,370)" name="Constant"> - <a name="facing" val="south"/> + <comp lib="0" loc="(920,1610)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="0" loc="(550,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="label" val="C (W)"/> + <a name="labelloc" val="south"/> </comp> - <comp lib="2" loc="(890,600)" name="Multiplexer"> - <a name="facing" val="south"/> - <a name="width" val="2"/> - <a name="enable" val="false"/> + <comp lib="0" loc="(180,1540)" name="Splitter"> + <a name="facing" val="north"/> + <a name="appear" val="center"/> </comp> - <comp lib="2" loc="(930,1230)" name="Multiplexer"> + <comp lib="0" loc="(280,360)" name="Constant"/> + <comp lib="0" loc="(280,330)" name="Constant"/> + <comp lib="2" loc="(930,870)" name="Multiplexer"> <a name="facing" val="south"/> <a name="width" val="2"/> <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(390,840)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="4" loc="(1200,1520)" name="Register"> - <a name="width" val="4"/> + <comp lib="4" loc="(110,50)" name="Register"> <a name="trigger" val="falling"/> </comp> - <comp lib="0" loc="(390,1200)" name="Constant"> - <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <comp lib="0" loc="(90,1640)" name="Pin"> + <a name="width" val="8"/> + <a name="tristate" val="false"/> + <a name="label" val="ALU OUTPUT"/> + <a name="labelloc" val="south"/> </comp> - <comp lib="0" loc="(860,460)" name="Constant"> + <comp lib="1" loc="(840,1610)" name="OR Gate"> <a name="facing" val="south"/> <a name="width" val="2"/> - <a name="value" val="0x0"/> - </comp> - <comp lib="1" loc="(520,1050)" name="OR Gate"> - <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(400,330)" name="OR Gate"> + <comp lib="2" loc="(160,50)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(280,120)" name="Constant"/> + <comp lib="1" loc="(720,780)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(760,420)" name="OR Gate"> + <comp lib="0" loc="(940,640)" name="Constant"> <a name="facing" val="south"/> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <a name="width" val="2"/> </comp> - <comp lib="0" loc="(440,1690)" name="Pin"> + <comp lib="0" loc="(1050,1460)" name="Pin"> <a name="facing" val="north"/> - <a name="width" val="8"/> - <a name="tristate" val="false"/> + <a name="output" val="true"/> + <a name="label" val="Write Enable"/> + <a name="labelloc" val="east"/> </comp> - <comp lib="0" loc="(280,240)" name="Constant"/> - <comp lib="2" loc="(850,240)" name="Multiplexer"> - <a name="facing" val="south"/> + <comp lib="4" loc="(1200,1740)" name="Register"> + <a name="width" val="1"/> + <a name="trigger" val="falling"/> + </comp> + <comp lib="0" loc="(280,230)" name="Constant"/> + <comp lib="0" loc="(260,1480)" name="Constant"> <a name="width" val="2"/> - <a name="enable" val="false"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(330,560)" name="AND Gate"> + <a name="inputs" val="8"/> + <a name="label" val="LLI"/> + <a name="negate6" val="true"/> + <a name="negate7" val="true"/> </comp> - <comp lib="2" loc="(850,330)" name="Multiplexer"> + <comp lib="0" loc="(860,640)" name="Constant"> <a name="facing" val="south"/> <a name="width" val="2"/> - <a name="enable" val="false"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(1110,1510)" name="AND Gate"> + <a name="facing" val="west"/> + <a name="size" val="30"/> + </comp> + <comp lib="0" loc="(620,1400)" name="Splitter"> + <a name="facing" val="north"/> + <a name="appear" val="center"/> </comp> + <comp lib="4" loc="(260,1670)" name="Register"/> <comp lib="2" loc="(290,1490)" name="Multiplexer"> <a name="width" val="2"/> <a name="enable" val="false"/> </comp> - <comp lib="1" loc="(480,600)" name="OR Gate"> + <comp lib="0" loc="(280,540)" name="Constant"/> + <comp lib="0" loc="(880,1610)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="2"/> + <a name="label" val="B (R)"/> + <a name="labelloc" val="south"/> + </comp> + <comp lib="0" loc="(280,580)" name="Constant"/> + <comp lib="0" loc="(280,320)" name="Constant"/> + <comp lib="1" loc="(440,330)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="1" loc="(330,110)" name="AND Gate"> + <comp lib="0" loc="(640,60)" name="Constant"> + <a name="facing" val="south"/> + <a name="value" val="0x0"/> + </comp> + <comp lib="1" loc="(330,740)" name="AND Gate"> <a name="inputs" val="8"/> - <a name="label" val="OR"/> - <a name="negate0" val="true"/> - <a name="negate1" val="true"/> + <a name="label" val="JMP"/> + <a name="negate2" val="true"/> + <a name="negate3" val="true"/> + <a name="negate4" val="true"/> + <a name="negate5" val="true"/> + <a name="negate6" val="true"/> </comp> - <comp lib="0" loc="(960,1610)" name="Pin"> + <comp lib="0" loc="(280,400)" name="Constant"/> + <comp lib="0" loc="(280,480)" name="Constant"/> + <comp lib="0" loc="(240,1630)" name="Splitter"> <a name="facing" val="north"/> - <a name="output" val="true"/> - <a name="labelloc" val="east"/> + <a name="incoming" val="8"/> + <a name="bit1" val="0"/> + <a name="bit2" val="0"/> + <a name="bit3" val="0"/> + <a name="bit4" val="1"/> + <a name="bit5" val="1"/> + <a name="bit6" val="1"/> + <a name="bit7" val="1"/> </comp> - <comp lib="1" loc="(360,150)" name="OR Gate"> + <comp lib="1" loc="(480,330)" name="OR Gate"> <a name="facing" val="south"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> - <comp lib="6" loc="(839,1649)" name="Text"> - <a name="text" val="A"/> - </comp> - <comp lib="0" loc="(430,660)" name="Constant"> + <comp lib="2" loc="(890,1050)" name="Multiplexer"> <a name="facing" val="south"/> - <a name="value" val="0x0"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(280,150)" name="Constant"/> - <comp lib="1" loc="(560,960)" name="OR Gate"> + <comp lib="2" loc="(890,870)" name="Multiplexer"> <a name="facing" val="south"/> + <a name="width" val="2"/> + <a name="enable" val="false"/> + </comp> + <comp lib="0" loc="(230,1580)" name="Splitter"> + <a name="facing" val="north"/> + <a name="incoming" val="4"/> + <a name="bit1" val="0"/> + <a name="bit2" val="1"/> + <a name="bit3" val="1"/> + </comp> + <comp lib="0" loc="(620,1600)" name="Pin"> + <a name="facing" val="north"/> + <a name="output" val="true"/> + <a name="width" val="2"/> + <a name="label" val="GPR MUX"/> + <a name="labelloc" val="south"/> + </comp> + <comp lib="1" loc="(290,1610)" name="OR Gate"> + <a name="width" val="8"/> <a name="size" val="30"/> <a name="inputs" val="2"/> </comp> @@ -6307,201 +3734,201 @@ <wire from="(750,260)" to="(760,260)"/> <wire from="(760,270)" to="(770,270)"/> <wire from="(1250,270)" to="(1270,270)"/> - <comp lib="2" loc="(1080,260)" name="Multiplexer"> + <comp lib="4" loc="(2450,270)" name="Register"/> + <comp lib="4" loc="(1130,270)" name="Register"/> + <comp lib="2" loc="(2180,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(1570,270)" name="Register"/> - <comp lib="2" loc="(1190,260)" name="Multiplexer"> + <comp lib="2" loc="(3390,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(910,270)" name="Register"/> - <comp lib="2" loc="(860,260)" name="Multiplexer"> + <comp lib="2" loc="(2290,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(2400,260)" name="Multiplexer"> + <comp lib="2" loc="(1410,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(2950,260)" name="Multiplexer"> + <comp lib="0" loc="(90,290)" name="Pin"> + <a name="tristate" val="false"/> + </comp> + <comp lib="4" loc="(3000,270)" name="Register"/> + <comp lib="2" loc="(1520,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(3060,260)" name="Multiplexer"> + <comp lib="4" loc="(2010,270)" name="Register"/> + <comp lib="4" loc="(3220,270)" name="Register"/> + <comp lib="4" loc="(800,270)" name="Register"/> + <comp lib="4" loc="(1350,270)" name="Register"/> + <comp lib="2" loc="(1740,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(1960,260)" name="Multiplexer"> + <comp lib="4" loc="(1240,270)" name="Register"/> + <comp lib="2" loc="(2070,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(1630,260)" name="Multiplexer"> + <comp lib="0" loc="(150,270)" name="Pin"> <a name="width" val="8"/> - <a name="enable" val="false"/> + <a name="tristate" val="false"/> </comp> - <comp lib="4" loc="(3110,270)" name="Register"/> - <comp lib="4" loc="(3330,270)" name="Register"/> - <comp lib="2" loc="(1410,260)" name="Multiplexer"> + <comp lib="1" loc="(130,340)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="2" loc="(420,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(3550,270)" name="Register"/> - <comp lib="2" loc="(2840,260)" name="Multiplexer"> + <comp lib="2" loc="(2950,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(3000,270)" name="Register"/> - <comp lib="2" loc="(640,260)" name="Multiplexer"> + <comp lib="2" loc="(1080,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(90,360)" name="Pin"> + <comp lib="4" loc="(250,270)" name="Register"/> + <comp lib="4" loc="(580,270)" name="Register"/> + <comp lib="0" loc="(150,220)" name="Pin"> + <a name="output" val="true"/> + <a name="width" val="8"/> <a name="tristate" val="false"/> + <a name="labelloc" val="east"/> </comp> - <comp lib="4" loc="(2230,270)" name="Register"/> - <comp lib="4" loc="(690,270)" name="Register"/> - <comp lib="2" loc="(1520,260)" name="Multiplexer"> + <comp lib="2" loc="(2400,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(970,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> + <comp lib="1" loc="(150,300)" name="AND Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> </comp> - <comp lib="2" loc="(1300,260)" name="Multiplexer"> + <comp lib="4" loc="(2340,270)" name="Register"/> + <comp lib="4" loc="(1460,270)" name="Register"/> + <comp lib="4" loc="(470,270)" name="Register"/> + <comp lib="4" loc="(2230,270)" name="Register"/> + <comp lib="2" loc="(640,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(2620,260)" name="Multiplexer"> + <comp lib="2" loc="(860,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(1790,270)" name="Register"/> - <comp lib="4" loc="(1130,270)" name="Register"/> - <comp lib="4" loc="(1680,270)" name="Register"/> - <comp lib="2" loc="(750,260)" name="Multiplexer"> + <comp lib="4" loc="(2670,270)" name="Register"/> + <comp lib="4" loc="(2780,270)" name="Register"/> + <comp lib="4" loc="(3440,270)" name="Register"/> + <comp lib="4" loc="(910,270)" name="Register"/> + <comp lib="2" loc="(1850,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(2120,270)" name="Register"/> - <comp lib="2" loc="(3170,260)" name="Multiplexer"> + <comp lib="2" loc="(2510,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(2560,270)" name="Register"/> - <comp lib="0" loc="(180,320)" name="Pin"> - <a name="tristate" val="false"/> - </comp> - <comp lib="2" loc="(530,260)" name="Multiplexer"> + <comp lib="4" loc="(1020,270)" name="Register"/> + <comp lib="2" loc="(1630,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(200,260)" name="Multiplexer"> + <comp lib="4" loc="(1570,270)" name="Register"/> + <comp lib="2" loc="(3060,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(3280,260)" name="Multiplexer"> + <comp lib="4" loc="(3550,270)" name="Register"/> + <comp lib="4" loc="(1900,270)" name="Register"/> + <comp lib="4" loc="(1680,270)" name="Register"/> + <comp lib="2" loc="(750,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(150,270)" name="Pin"> + <comp lib="2" loc="(310,260)" name="Multiplexer"> <a name="width" val="8"/> - <a name="tristate" val="false"/> - </comp> - <comp lib="4" loc="(1350,270)" name="Register"/> - <comp lib="0" loc="(90,330)" name="Pin"> - <a name="tristate" val="false"/> + <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(3500,260)" name="Multiplexer"> + <comp lib="2" loc="(2620,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(420,260)" name="Multiplexer"> + <comp lib="2" loc="(530,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(2290,260)" name="Multiplexer"> + <comp lib="2" loc="(1190,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(3440,270)" name="Register"/> - <comp lib="1" loc="(150,300)" name="AND Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="0" loc="(90,360)" name="Pin"> + <a name="tristate" val="false"/> </comp> - <comp lib="4" loc="(800,270)" name="Register"/> - <comp lib="4" loc="(1900,270)" name="Register"/> - <comp lib="2" loc="(2510,260)" name="Multiplexer"> + <comp lib="2" loc="(3170,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(3670,220)" name="Constant"> - <a name="facing" val="west"/> + <comp lib="2" loc="(3610,260)" name="Multiplexer"> <a name="width" val="8"/> - <a name="value" val="0x0"/> + <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(3390,260)" name="Multiplexer"> + <comp lib="2" loc="(1300,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(2890,270)" name="Register"/> - <comp lib="4" loc="(1460,270)" name="Register"/> <comp lib="2" loc="(2730,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(3610,260)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> + <comp lib="0" loc="(180,320)" name="Pin"> + <a name="tristate" val="false"/> </comp> - <comp lib="4" loc="(2450,270)" name="Register"/> - <comp lib="4" loc="(2670,270)" name="Register"/> - <comp lib="4" loc="(580,270)" name="Register"/> - <comp lib="4" loc="(1240,270)" name="Register"/> + <comp lib="4" loc="(2890,270)" name="Register"/> <comp lib="4" loc="(3660,270)" name="Register"/> - <comp lib="0" loc="(150,220)" name="Pin"> - <a name="output" val="true"/> + <comp lib="4" loc="(2120,270)" name="Register"/> + <comp lib="0" loc="(3670,220)" name="Constant"> + <a name="facing" val="west"/> <a name="width" val="8"/> - <a name="tristate" val="false"/> - <a name="labelloc" val="east"/> + <a name="value" val="0x0"/> </comp> - <comp lib="2" loc="(310,260)" name="Multiplexer"> + <comp lib="2" loc="(2840,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(2780,270)" name="Register"/> - <comp lib="4" loc="(2010,270)" name="Register"/> - <comp lib="2" loc="(1740,260)" name="Multiplexer"> + <comp lib="4" loc="(690,270)" name="Register"/> + <comp lib="4" loc="(2560,270)" name="Register"/> + <comp lib="2" loc="(200,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(470,270)" name="Register"/> - <comp lib="1" loc="(130,340)" name="OR Gate"> - <a name="size" val="30"/> - <a name="inputs" val="2"/> + <comp lib="2" loc="(3280,260)" name="Multiplexer"> + <a name="width" val="8"/> + <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(3220,270)" name="Register"/> - <comp lib="2" loc="(2070,260)" name="Multiplexer"> + <comp lib="2" loc="(970,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="0" loc="(90,290)" name="Pin"> + <comp lib="4" loc="(360,270)" name="Register"/> + <comp lib="4" loc="(1790,270)" name="Register"/> + <comp lib="0" loc="(90,330)" name="Pin"> <a name="tristate" val="false"/> </comp> - <comp lib="4" loc="(250,270)" name="Register"/> - <comp lib="4" loc="(360,270)" name="Register"/> - <comp lib="4" loc="(2340,270)" name="Register"/> - <comp lib="2" loc="(1850,260)" name="Multiplexer"> + <comp lib="4" loc="(3110,270)" name="Register"/> + <comp lib="4" loc="(3330,270)" name="Register"/> + <comp lib="2" loc="(3500,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="2" loc="(2180,260)" name="Multiplexer"> + <comp lib="2" loc="(1960,260)" name="Multiplexer"> <a name="width" val="8"/> <a name="enable" val="false"/> </comp> - <comp lib="4" loc="(1020,270)" name="Register"/> </circuit> <circuit name="PC"> <a name="circuit" val="PC"/> @@ -6541,104 +3968,197 @@ <wire from="(200,330)" to="(200,350)"/> <wire from="(140,400)" to="(240,400)"/> <wire from="(230,320)" to="(230,380)"/> - <comp lib="4" loc="(260,310)" name="Register"> - <a name="trigger" val="falling"/> - </comp> - <comp lib="0" loc="(140,320)" name="Pin"> - <a name="width" val="8"/> + <comp lib="0" loc="(140,350)" name="Pin"> <a name="tristate" val="false"/> </comp> - <comp lib="0" loc="(140,430)" name="Pin"> - <a name="tristate" val="false"/> + <comp lib="6" loc="(79,355)" name="Text"> + <a name="text" val="Jump Enable"/> </comp> - <comp lib="0" loc="(140,400)" name="Pin"> + <comp lib="0" loc="(140,320)" name="Pin"> + <a name="width" val="8"/> <a name="tristate" val="false"/> </comp> - <comp lib="0" loc="(270,360)" name="Pin"> + <comp lib="0" loc="(360,360)" name="Pin"> <a name="facing" val="west"/> <a name="output" val="true"/> <a name="width" val="8"/> <a name="labelloc" val="east"/> </comp> - <comp lib="0" loc="(290,330)" name="Constant"> + <comp lib="2" loc="(220,310)" name="Multiplexer"> <a name="width" val="8"/> + <a name="enable" val="false"/> </comp> + <comp lib="1" loc="(200,380)" name="NOT Gate"/> <comp lib="6" loc="(101,297)" name="Text"> <a name="text" val="Jump Address"/> </comp> - <comp lib="2" loc="(220,310)" name="Multiplexer"> - <a name="width" val="8"/> - <a name="enable" val="false"/> - </comp> - <comp lib="0" loc="(140,380)" name="Pin"> + <comp lib="0" loc="(140,430)" name="Pin"> <a name="tristate" val="false"/> </comp> - <comp lib="0" loc="(360,360)" name="Pin"> + <comp lib="0" loc="(270,360)" name="Pin"> <a name="facing" val="west"/> <a name="output" val="true"/> <a name="width" val="8"/> <a name="labelloc" val="east"/> </comp> + <comp lib="0" loc="(290,330)" name="Constant"> + <a name="width" val="8"/> + </comp> + <comp lib="0" loc="(140,380)" name="Pin"> + <a name="tristate" val="false"/> + </comp> <comp lib="3" loc="(330,320)" name="Adder"/> - <comp lib="1" loc="(200,380)" name="NOT Gate"/> - <comp lib="0" loc="(140,350)" name="Pin"> + <comp lib="0" loc="(140,400)" name="Pin"> <a name="tristate" val="false"/> </comp> - <comp lib="6" loc="(79,355)" name="Text"> - <a name="text" val="Jump Enable"/> + <comp lib="4" loc="(260,310)" name="Register"> + <a name="trigger" val="falling"/> </comp> </circuit> - <circuit name="7SegDisplay"> - <a name="circuit" val="7SegDisplay"/> + <circuit name="ExampleConfigurationROM"> + <a name="circuit" val="ExampleConfigurationROM"/> <a name="clabel" val=""/> <a name="clabelup" val="east"/> <a name="clabelfont" val="SansSerif plain 12"/> - <wire from="(530,90)" to="(530,100)"/> - <wire from="(650,60)" to="(650,70)"/> - <wire from="(610,60)" to="(610,70)"/> - <wire from="(180,160)" to="(230,160)"/> - <wire from="(180,80)" to="(360,80)"/> - <wire from="(260,140)" to="(560,140)"/> - <wire from="(560,110)" to="(560,140)"/> - <wire from="(540,90)" to="(540,120)"/> - <wire from="(530,60)" to="(530,90)"/> - <wire from="(180,120)" to="(540,120)"/> - <wire from="(180,60)" to="(530,60)"/> - <wire from="(530,100)" to="(550,100)"/> - <wire from="(580,90)" to="(600,90)"/> - <wire from="(320,100)" to="(320,260)"/> - <wire from="(230,160)" to="(230,260)"/> - <wire from="(620,70)" to="(650,70)"/> - <wire from="(510,90)" to="(530,90)"/> - <wire from="(320,100)" to="(470,100)"/> - <wire from="(230,160)" to="(570,160)"/> - <wire from="(460,80)" to="(470,80)"/> - <wire from="(180,140)" to="(260,140)"/> - <wire from="(180,100)" to="(320,100)"/> - <wire from="(570,110)" to="(570,160)"/> - <wire from="(260,140)" to="(260,260)"/> - <wire from="(540,90)" to="(550,90)"/> - <comp lib="4" loc="(580,90)" name="Register"/> - <comp loc="(180,60)" name="MCU"/> - <comp lib="0" loc="(320,260)" name="Pin"> - <a name="facing" val="north"/> - <a name="output" val="true"/> + <wire from="(550,350)" to="(550,360)"/> + <wire from="(550,470)" to="(550,480)"/> + <wire from="(280,500)" to="(280,630)"/> + <wire from="(310,490)" to="(310,630)"/> + <wire from="(510,350)" to="(510,360)"/> + <wire from="(510,470)" to="(510,480)"/> + <wire from="(430,310)" to="(430,330)"/> + <wire from="(430,430)" to="(430,450)"/> + <wire from="(340,430)" to="(340,640)"/> + <wire from="(340,310)" to="(430,310)"/> + <wire from="(340,430)" to="(430,430)"/> + <wire from="(680,150)" to="(680,260)"/> + <wire from="(350,450)" to="(350,610)"/> + <wire from="(350,210)" to="(380,210)"/> + <wire from="(340,640)" to="(370,640)"/> + <wire from="(350,330)" to="(380,330)"/> + <wire from="(350,450)" to="(380,450)"/> + <wire from="(430,510)" to="(580,510)"/> + <wire from="(430,390)" to="(580,390)"/> + <wire from="(420,340)" to="(430,340)"/> + <wire from="(420,460)" to="(430,460)"/> + <wire from="(420,220)" to="(430,220)"/> + <wire from="(220,150)" to="(360,150)"/> + <wire from="(360,520)" to="(360,580)"/> + <wire from="(360,150)" to="(360,280)"/> + <wire from="(280,260)" to="(460,260)"/> + <wire from="(280,380)" to="(460,380)"/> + <wire from="(280,500)" to="(460,500)"/> + <wire from="(350,160)" to="(350,170)"/> + <wire from="(650,190)" to="(690,190)"/> + <wire from="(460,230)" to="(460,260)"/> + <wire from="(460,350)" to="(460,380)"/> + <wire from="(460,470)" to="(460,500)"/> + <wire from="(340,190)" to="(440,190)"/> + <wire from="(470,150)" to="(680,150)"/> + <wire from="(420,140)" to="(440,140)"/> + <wire from="(310,250)" to="(450,250)"/> + <wire from="(310,370)" to="(450,370)"/> + <wire from="(310,490)" to="(450,490)"/> + <wire from="(430,160)" to="(440,160)"/> + <wire from="(500,190)" to="(510,190)"/> + <wire from="(480,330)" to="(480,380)"/> + <wire from="(480,450)" to="(480,500)"/> + <wire from="(430,160)" to="(430,220)"/> + <wire from="(500,190)" to="(500,250)"/> + <wire from="(350,180)" to="(480,180)"/> + <wire from="(220,170)" to="(350,170)"/> + <wire from="(360,280)" to="(360,400)"/> + <wire from="(360,400)" to="(360,520)"/> + <wire from="(690,270)" to="(690,280)"/> + <wire from="(220,230)" to="(280,230)"/> + <wire from="(330,230)" to="(380,230)"/> + <wire from="(330,350)" to="(380,350)"/> + <wire from="(330,470)" to="(380,470)"/> + <wire from="(350,170)" to="(350,180)"/> + <wire from="(450,230)" to="(450,250)"/> + <wire from="(450,350)" to="(450,370)"/> + <wire from="(450,470)" to="(450,490)"/> + <wire from="(220,210)" to="(310,210)"/> + <wire from="(520,360)" to="(550,360)"/> + <wire from="(520,480)" to="(550,480)"/> + <wire from="(310,210)" to="(310,250)"/> + <wire from="(430,330)" to="(440,330)"/> + <wire from="(430,450)" to="(440,450)"/> + <wire from="(470,210)" to="(480,210)"/> + <wire from="(470,330)" to="(480,330)"/> + <wire from="(470,450)" to="(480,450)"/> + <wire from="(360,580)" to="(370,580)"/> + <wire from="(690,190)" to="(690,250)"/> + <wire from="(340,310)" to="(340,430)"/> + <wire from="(340,190)" to="(340,310)"/> + <wire from="(220,190)" to="(340,190)"/> + <wire from="(440,190)" to="(440,210)"/> + <wire from="(480,190)" to="(480,210)"/> + <wire from="(280,230)" to="(280,260)"/> + <wire from="(350,180)" to="(350,210)"/> + <wire from="(360,520)" to="(590,520)"/> + <wire from="(360,400)" to="(590,400)"/> + <wire from="(350,160)" to="(380,160)"/> + <wire from="(350,610)" to="(370,610)"/> + <wire from="(480,380)" to="(500,380)"/> + <wire from="(480,500)" to="(500,500)"/> + <wire from="(430,340)" to="(440,340)"/> + <wire from="(430,460)" to="(440,460)"/> + <wire from="(430,220)" to="(440,220)"/> + <wire from="(500,250)" to="(510,250)"/> + <wire from="(360,280)" to="(690,280)"/> + <wire from="(430,340)" to="(430,390)"/> + <wire from="(430,460)" to="(430,510)"/> + <wire from="(220,130)" to="(230,130)"/> + <wire from="(280,380)" to="(280,500)"/> + <wire from="(310,370)" to="(310,490)"/> + <wire from="(280,260)" to="(280,380)"/> + <wire from="(310,250)" to="(310,370)"/> + <wire from="(350,330)" to="(350,450)"/> + <wire from="(350,210)" to="(350,330)"/> + <comp lib="5" loc="(510,350)" name="Hex Digit Display"/> + <comp lib="5" loc="(550,470)" name="Hex Digit Display"/> + <comp lib="0" loc="(590,500)" name="Pin"> + <a name="facing" val="south"/> <a name="width" val="8"/> - <a name="label" val="Address"/> - <a name="labelloc" val="south"/> + <a name="tristate" val="false"/> </comp> - <comp lib="5" loc="(650,60)" name="Hex Digit Display"/> - <comp lib="0" loc="(260,260)" name="Clock"> - <a name="facing" val="north"/> - <a name="labelloc" val="south"/> + <comp lib="0" loc="(370,640)" name="Probe"> + <a name="facing" val="west"/> + <a name="label" val="Output"/> + <a name="labelloc" val="east"/> </comp> - <comp lib="0" loc="(230,260)" name="Pin"> + <comp loc="(220,130)" name="MCU"/> + <comp lib="0" loc="(280,630)" name="Pin"> <a name="facing" val="north"/> <a name="tristate" val="false"/> - <a name="label" val="Reset"/> - <a name="labelloc" val="south"/> </comp> - <comp lib="0" loc="(600,90)" name="Splitter"> + <comp lib="4" loc="(650,190)" name="ROM"> + <a name="addrWidth" val="16"/> + <a name="contents">addr/data: 16 8 +3 43 84 3f 7f 9f 7 43 +c4 3 67 bb ba 93 48 93 +8c a3 c4 a3 bb db 83 c +83 18 +</a> + </comp> + <comp lib="0" loc="(380,140)" name="Constant"> + <a name="width" val="8"/> + <a name="value" val="0xf0"/> + </comp> + <comp lib="1" loc="(470,150)" name="OR Gate"> + <a name="size" val="30"/> + <a name="inputs" val="2"/> + </comp> + <comp lib="0" loc="(330,350)" name="Constant"> + <a name="width" val="8"/> + <a name="value" val="0xfe"/> + </comp> + <comp lib="3" loc="(420,460)" name="Comparator"> + <a name="mode" val="unsigned"/> + </comp> + <comp lib="4" loc="(470,450)" name="Register"/> + <comp lib="0" loc="(500,500)" name="Splitter"> <a name="facing" val="north"/> <a name="incoming" val="8"/> <a name="appear" val="right"/> @@ -6650,18 +4170,96 @@ <a name="bit6" val="1"/> <a name="bit7" val="1"/> </comp> - <comp lib="0" loc="(460,80)" name="Constant"> + <comp lib="0" loc="(230,130)" name="Constant"> + <a name="facing" val="west"/> + </comp> + <comp lib="0" loc="(370,610)" name="Probe"> + <a name="facing" val="west"/> + <a name="label" val="Address"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="1" loc="(590,520)" name="Controlled Buffer"> + <a name="facing" val="south"/> <a name="width" val="8"/> - <a name="value" val="0xff"/> </comp> - <comp lib="5" loc="(610,60)" name="Hex Digit Display"/> - <comp lib="3" loc="(510,90)" name="Comparator"> + <comp lib="3" loc="(420,220)" name="Comparator"> <a name="mode" val="unsigned"/> </comp> - <comp lib="0" loc="(360,80)" name="Pin"> - <a name="facing" val="west"/> + <comp lib="0" loc="(330,470)" name="Constant"> + <a name="width" val="8"/> + <a name="value" val="0xfd"/> + </comp> + <comp lib="0" loc="(310,630)" name="Clock"> + <a name="facing" val="north"/> + </comp> + <comp lib="3" loc="(420,150)" name="Comparator"> + <a name="mode" val="unsigned"/> + </comp> + <comp lib="0" loc="(590,380)" name="Pin"> + <a name="facing" val="south"/> <a name="width" val="8"/> <a name="tristate" val="false"/> </comp> + <comp lib="5" loc="(510,470)" name="Hex Digit Display"/> + <comp lib="4" loc="(470,330)" name="Register"/> + <comp lib="0" loc="(330,230)" name="Constant"> + <a name="width" val="8"/> + <a name="value" val="0xff"/> + </comp> + <comp lib="1" loc="(690,270)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="1" loc="(590,400)" name="Controlled Buffer"> + <a name="facing" val="south"/> + <a name="width" val="8"/> + </comp> + <comp lib="3" loc="(420,340)" name="Comparator"> + <a name="mode" val="unsigned"/> + </comp> + <comp lib="4" loc="(470,210)" name="Register"/> + <comp lib="0" loc="(510,250)" name="Probe"> + <a name="facing" val="west"/> + <a name="label" val="Real Address"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(500,380)" name="Splitter"> + <a name="facing" val="north"/> + <a name="incoming" val="8"/> + <a name="appear" val="right"/> + <a name="bit1" val="0"/> + <a name="bit2" val="0"/> + <a name="bit3" val="0"/> + <a name="bit4" val="1"/> + <a name="bit5" val="1"/> + <a name="bit6" val="1"/> + <a name="bit7" val="1"/> + </comp> + <comp lib="0" loc="(370,580)" name="Probe"> + <a name="facing" val="west"/> + <a name="label" val="Input"/> + <a name="labelloc" val="east"/> + </comp> + <comp lib="0" loc="(500,190)" name="Splitter"> + <a name="facing" val="west"/> + <a name="incoming" val="16"/> + <a name="appear" val="center"/> + <a name="bit1" val="0"/> + <a name="bit2" val="0"/> + <a name="bit3" val="0"/> + <a name="bit4" val="0"/> + <a name="bit5" val="0"/> + <a name="bit6" val="0"/> + <a name="bit7" val="0"/> + <a name="bit8" val="1"/> + <a name="bit9" val="1"/> + <a name="bit10" val="1"/> + <a name="bit11" val="1"/> + <a name="bit12" val="1"/> + <a name="bit13" val="1"/> + <a name="bit14" val="1"/> + <a name="bit15" val="1"/> + </comp> + <comp lib="5" loc="(550,350)" name="Hex Digit Display"/> </circuit> </project> diff --git a/CPU/programs/README b/CPU/programs/README @@ -1,2 +0,0 @@ -These are images from the program memory of the CPU. To run them just load the -image and start the clock. diff --git a/CPU/programs/allofascii b/CPU/programs/allofascii @@ -1,3 +0,0 @@ -v2.0 raw -0 3f 7f 9f ab 0 a2 83 -4 246*0 f diff --git a/CPU/programs/fibb b/CPU/programs/fibb @@ -1,4 +0,0 @@ -v2.0 raw -3f 7f 9f 23 7b d0 7 98 -9b 0 58 ab 0 df 83 7 -83 10 diff --git a/CPU/programs/print b/CPU/programs/print @@ -1,10 +0,0 @@ -v2.0 raw -4b 90 3 53 d0 af 97 0 -93 a2 ef 83 5 c0 3 4b -90 3f 7f 9f a3 9b 0 f2 -fb 83 14 83 1b 0 0 0 -2e 6c 6f 6f 63 20 73 27 -74 69 20 68 61 65 79 20 -65 63 6e 65 74 6e 65 73 -20 65 74 79 62 20 32 33 -191*0 2e diff --git a/CPU/programs/show b/CPU/programs/show @@ -1,8 +0,0 @@ -v2.0 raw -4b 90 3 53 d0 af 97 0 -93 a2 ef 83 5 c0 3f 7f -9f a3 9b 0 f2 fb 83 11 -83 ff 6*0 7*a 4*21 55 50 43 -20 43 53 49 52 20 74 69 -62 20 38 20 6e 61 20 6d -27 49 64*0 20 62*0 20 diff --git a/ExamplePrograms/README.md b/ExamplePrograms/README.md @@ -0,0 +1,126 @@ +# Example guide for TISC Assembly Code -> "tac" + +### Structure + +Each assembly line must start with either a comment, + + #Some words + +An Instruction: + + [opcode] [arguements] + +Or a label, followed with an instruction, + + [label]: [opcode] [arguements] + +Please refer to other examples as reference. + +### Arguement Legend + + * `A,B,C` specifies the positional arguements as types + + * `<GR>` specifies the arguement type as a General Register + * The following types are accepted: + * `GRA` General Purpose Register A + * `GRB` General Purpose Register B + * `GRC` General Purpose Register C + + * `<label>` specifies the arguement as a label + +## 0 Arguement Instructions + +Do nothing and reset comparsion operation + + nop + + Push GRC to the stack + + push + +Pop the stack to GRC + + pop + +Program Counter Read - get the program counters current address + + pcr + +Set Comparsion Operation - compare for `A > B` + + sco_gthan + +Set Comparison Operation - compare for `A + B > 255` + + sco_oflow + +Set Comparison Operation - compare for `A & B == 0` + + sco_andeq + +Set Comparison Operation - compare for `A ^ B == 0` + + sco_xoreq + +Increment memory pointer (set with the `sp` instruction) by `1` + + ptrinc + +## 1 Arguement Instructions + +Load immediate to GRC. This is a macro for successive lli and lui instructions + + li A<0-255> + +Load Lower Immediate to GRC, Least Significant 4 bits of a byte 'nibble' + + lli A<0-15> + +Load Upper Immediate to GRC, Most Significant 4 bits of a byte 'nibble' +> Note: This operation ORs the contents of GRC. May be of use. (hint: sign) + + lui A<0-15> + +Jumps to particular label. This jump will be conditional if following a cmp + + jmp A<label> + +Loads a byte from memory into the specified register. Uses the memory pointer + + lb A<GR> + +Stores a byte from the specified register into memory. Uses the memory pointer + + sb A<GR> + +Set the memory pointer to specify a specific address in RAM from the specified register + + sp A<GR> + +# 2 Arguement Instructions + +Increment the specified register `A` and save in register `B` + + cin A<GR> B<GR> + +Move the conents of `A` to `B` + + mov A<GR> B<GR> + +Compare `A` and `B` and generate a flag based on the current compare operation + + cmp A<GR> B<GR> + +# 3 Arguement Instructions + +Logical OR the contents of `A` and `B`, store the result in `C` + + or A<GR> B<GR> C<GR> + +Logical NAND the contents of `A` and `B`, store the result in `C` + + nand A<GR> B<GR> C<GR> + +Add the contents of `A` and `B`, store the result in `C` + + add A<GR> B<GR> C<GR> diff --git a/ExamplePrograms/fibb_test.tac b/ExamplePrograms/fibb_test.tac @@ -0,0 +1,21 @@ +# This program computes the classic Fibbonacci sequence +start: li 0 + mov GRA GRB + li 254 + sp GRA + li 1 + mov GRA GRC + li 144 + sb GRC +loop: add GRB GRC GRB + push + mov GRB GRA + push + mov GRC GRB + pop + mov GRA GRC + pop + sb GRC + cmp GRB GRA + jmp loop +end: jmp end+ \ No newline at end of file diff --git a/ExamplePrograms/test_compare.tac b/ExamplePrograms/test_compare.tac @@ -0,0 +1,17 @@ +# This program tests the compare modes set by sco_* +start: li 255 + mov GRA GRB + sco_xoreq + cmp GRA GRB + jmp next0 + jmp loop +next0: li 0 + cmp GRA GRB + jmp loop + jmp next1 +next1: sco_andeq + cmp GRA GRB + jmp next2 + jmp loop +next2: jmp start +loop: jmp loop+ \ No newline at end of file diff --git a/ExamplePrograms/weird_loop_test.tac b/ExamplePrograms/weird_loop_test.tac @@ -0,0 +1,17 @@ +# This program is a fun test that will write the address of the jmp statement +# on line number 15 if we're running this bit of code from the internal RAM +# It can only run from the internal RAM as it doesn't write out to the Program +# Memory, only the Internal RAM which can also execute code if set up correctly +start: nop + nop + nop + nop + nop + nop + pcr + lli 4 + add GRA GRC GRA + cin GRA GRB + sp GRB + sb GRA + jmp start+ \ No newline at end of file diff --git a/INSTRUCTION_SET b/INSTRUCTION_SET @@ -1,40 +1,40 @@ -TISCv1.0 (c) Paul Longtine <paul@nanner.co> +TISCv1.2 (c) Paul Longtine <paul@nanner.co> +Tiny Instruction Set Computer -T I S C - i n e o - n s t m - y t p - r u - u t - c e - t r - i - o - n ------------------------------------------------------------------------< + __________ ___ ________ ________ +|\___ ___\ |\ \ |\ ____\ |\ ____\ +\|___ \ \_| \ \ \ \ \ \___|_ \ \ \___| + \ \ \ \ \ \ \ \_____ \ \ \ \ + \ \ \ \ \ \ \|____|\ \ \ \ \____ + \ \__\ \ \__\ ____\_\ \ \ \_______\ + \|__| \|__| |\_________\ \|_______| v1.2 + \|_________| + +-----------------------------------------------------------------------<-------- RAW - memonic - description ------------------------------------------------------------------------< -00000000 - NOP ----- This will default the comparison operation -C B A 00 - OR ----- C = A || B +-----------------------------------------------------------------------<-------- +00000000 - NOP ----- This will default the comparison operation +C B A 00 - OR ----- C = A || B 00000000 - SCMP_GTHAN ----- CMP will A>B and flag if true 00010000 - SCMP_OFLOW ----- CMP will A+B and flag if there's overflow 00100000 - SCMP_ANDEQ ----- CMP will A&B and flag if the output is 0 00110000 - SCMP_XOREQ ----- CMP will A^B and flag if the output is 0 -C B A 01 - NAND----- C = A nand B -C B A 10 - ADD ----- C = A + B -C B 0010 - CIN ----- C = ++B -00<lo>11 - LLI ----- load lower immediate to GRC -01<hi>11 - LUI ----- load upper immediate to GRC -10000011 - JMP ----- jmp to address in next program word if flag is true -10010011 - PUS ----- Push GRA to stack -10100011 - POP ----- Pop stack to reg GRA -10110011 - PCR ----- Get current line and save to register GRC -10B 0111 - LB ----- Load word from mem pointer to ARGB GPR -10B 1011 - SB ----- Store contents of ARGB GPR to mem pointer -10B 1111 - SP ----- set pointer ARGB -11B A 11 - CMP ----- sets flag on comparison operation +11110000 - PTRINC ----- Increment pointer by 1 +C B A 01 - NAND ----- C = A nand B +C B A 10 - ADD ----- C = A + B +C B 0010 - CIN ----- C = ++B +00<lo>11 - LLI ----- load lower immediate to GRC +01<hi>11 - LUI ----- load upper immediate to GRC +10000011 - JMP ----- jmp to address in next program word if flag is true +10010011 - PUS ----- Push GRA to stack +10100011 - POP ----- Pop stack to reg GRA +10110011 - PCR ----- Get current line and save to register GRC +10B 0111 - LB ----- Load word from mem pointer to ARGB GPR +10B 1011 - SB ----- Store contents of ARGB GPR to mem pointer +10B 1111 - SP ----- set pointer ARGB +11B A 11 - CMP ----- sets flag on comparison operation ------------------------------------------------------------------------< +-----------------------------------------------------------------------<-------- C = Arguement C / ARGC B = Arguement B / ARGB A = Arguement A / ARGA diff --git a/README.md b/README.md @@ -0,0 +1,16 @@ +# Tiny Instruction Set Computer + +This is a for-fun implementation of a relatively simple microarchitecture, with +an assembler to program the simulated implementation in [Logisim](http://www.cburch.com/logisim/). + +## Want to play with it too? + +To compile the assembler, run tisc.c through GCC: + + gcc tisc.c + +To start Logisim with the provided .jar file: + + java -jar CPU/logisim.jar CPU/CPU.circ + +I recommend getting familiar with Logisim if you'd like to play with this circuit until there are more sophisticated peripherals available in the Logisim implementation diff --git a/TestPrograms/example_assembly.tac b/TestPrograms/example_assembly.tac @@ -1,7 +0,0 @@ -# we're doing asssembly now - li 15 - sp GRC - mov GRC GRB -sc: cin GRB GRB - sb GRB - jmp sc diff --git a/TestPrograms/fibb_test.tac b/TestPrograms/fibb_test.tac @@ -1,20 +0,0 @@ -start: li 0 - mov GRA GRB - li 255 - sp GRA - li 1 - mov GRA GRC - li 144 - sb GRC -loop: add GRB GRC GRB - push - mov GRB GRA - push - mov GRC GRB - pop - mov GRA GRC - pop - sb GRC - cmp GRB GRA - jmp loop -end: jmp end- \ No newline at end of file diff --git a/TestPrograms/test_compare.tac b/TestPrograms/test_compare.tac @@ -1,6 +0,0 @@ -start: li 255 - mov GRA GRB - sco_xoreq - cmp GRA GRB - jmp start -loop: jmp loop- \ No newline at end of file diff --git a/TestPrograms/weird_loop_test.tac b/TestPrograms/weird_loop_test.tac @@ -1,13 +0,0 @@ -start: or NUL NUL NUL - or NUL NUL NUL - or NUL NUL NUL - or NUL NUL NUL - or NUL NUL NUL - or NUL NUL NUL - pcr - lli 4 - add GRA GRC GRA - cin GRA GRB - sp GRB - sb GRA - jmp start- \ No newline at end of file diff --git a/tisc.c b/tisc.c @@ -3,7 +3,7 @@ #include <stdint.h> #include <string.h> -#define TOT_INSTRUCTIONS 20 +#define TOT_INSTRUCTIONS 22 #define MAX_SYMBOLS 1000 #define MAX_SYMBOL_LEN 100 #define MAX_LINE_LEN 100 @@ -292,6 +292,7 @@ InstructionDefinition_t definitions[TOT_INSTRUCTIONS] = { "sco_oflow", 0, 1, 0x10, assemble_0arg }, { "sco_andeq", 0, 1, 0x20, assemble_0arg }, { "sco_xoreq", 0, 1, 0x30, assemble_0arg }, + { "ptrinc", 0, 1, 0xF0, assemble_0arg }, { "li", 1, 2, 0x00, assemble_li }, { "lli", 1, 1, 0x03, assemble_immediate }, { "lui", 1, 1, 0x43, assemble_immediate }, @@ -304,7 +305,7 @@ InstructionDefinition_t definitions[TOT_INSTRUCTIONS] = { "cmp", 2, 1, 0xC3, assemble_2arg }, { "or", 3, 1, 0x00, assemble_3arg }, { "nand", 3, 1, 0x01, assemble_3arg }, - { "add", 3, 1, 0x02, assemble_3arg }, + { "add", 3, 1, 0x02, assemble_3arg } }; InstructionDefinition_t* getInstructionFromOpcode(const char *opcode)